Skip to main content

On-Chip Optical Ring Bus Communication Architecture for Heterogeneous MPSoC

  • Chapter
  • First Online:
Book cover Integrated Optical Interconnect Architectures for Embedded Systems

Part of the book series: Embedded Systems ((EMSY))

  • 1394 Accesses

Abstract

With increasing application complexity and improvements in process technology, multi-processor systems-on-chip (MPSoC) with tens to hundreds of cores on a chip are being realized today. While computational cores have become faster with each successive technology generation, communication between them has not scaled well, and has become a bottleneck that limits overall chip performance. On-chip optical interconnects are a promising development to overcome this bottleneck by replacing electrical wires with optical waveguides. In this chapter we describe an optical ring bus (ORB) based hybrid opto-electric on-chip communication architecture for the next generation of heterogeneous MPSoCs. ORB uses an optical ring waveguide to replace global pipelined electrical interconnects while preserving the interface with today’s bus protocol standards such as AMBA AXI3. The proposed ORB architecture supports serialization of uplinks/downlinks to optimize communication power dissipation. We present experiments to show how ORB has the potential to reduce transfer latency (up to 4.7×), and lower power consumption (up to 12×) compared to traditionally used pipelined, all-electrical, bus-based communication architectures, for the 22 nm technology node.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Pham D et al (2005) The design and implementation of a first-generation CELL processor. In: Proceedings of the IEEE ISSCC, pp 184–185 San Francisco, CA

    Google Scholar 

  2. Vangal S et al (2007) An 80-tile 1.28 TFLOPS network-on-chip in 65 nm CMOS. In: Proceedings of the IEEE international solid state circuits conference, paper 5.2 San Francisco, CA

    Google Scholar 

  3. Tilera Corporation (2007) TILE64™ Processor. Product Brief

    Google Scholar 

  4. Ho R, Mai W, Horowitz MA (2001) The future of wires. Proc IEEE 89(4):490–504

    Article  Google Scholar 

  5. International Technology Roadmap for Semiconductors (2006) http://www.itrs.net/ Accessed on Oct 2011

  6. Adler V, Friedman E (1998) Repeater design to reduce delay and power in resistive interconnect. In: IEEE TCAS

    Google Scholar 

  7. Nookala V, Sapatnekar SS (2005) Designing optimized pipelined global interconnects: algorithms and methodology impact. IEEE ISCAS 1:608–611

    Google Scholar 

  8. AMBA AXI Specification. www.arm.com/armtech/AXI Accessed on Oct 2011

  9. Haurylau M et al (2006) On-chip optical interconnect roadmap: challenges and critical directions. IEEE J Sel Top Quantum Electron 12(6):1699–1705

    Article  Google Scholar 

  10. Miller DA (2000) Rationale and challenges for optical interconnects to electronic chips. Proc IEEE 88:728–749

    Article  Google Scholar 

  11. Ramaswami R, Sivarajan KN (2002) Optical networks: a practical perspective, 2nd edn. Morgan Kaufmann, San Francisco

    Google Scholar 

  12. Young I (2004) Intel introduces chip-to-chip optical I/O interconnect prototype. Technology@Intel Magazine

    Google Scholar 

  13. Rong H et al (2005) A continuous-wave Raman silicon laser. Nature 433:725–728

    Article  Google Scholar 

  14. McNab SJ, Moll N, Vlasov YA (2003) Ultra-low loss photonic integrated circuit with membrane-type photonic crystal waveguides. Opt Express 11(22):2927–2939

    Article  Google Scholar 

  15. Liu A et al (2004) A high-speed silicon optical modulator based on a metal-oxide-semiconductor capacitor. Nature 427:615–618

    Article  Google Scholar 

  16. Xu Q et al (2007) 12.5 Gbit/s carrier-injection-based silicon microring silicon modulators. Opt Express 15(2):430–436

    Article  Google Scholar 

  17. Reshotko MR, Kencke DL, Block B (2004) High-speed CMOS compatible photodetectors for optical interconnects. Proc SPIE 5564:146–155

    Article  Google Scholar 

  18. Koester SJ et al (2004) High-efficiency, Ge-on-SOI lateral PIN photodiodes with 29 GHz bandwidth. In: Proceedings of the Device Research Conference, Notre Dame, pp 175–176

    Google Scholar 

  19. Haensch W (2007) Is 3D the next big thing in microprocessors? In: Proceedings of international solid state circuits conference (ISSCC), San Francisco

    Google Scholar 

  20. Pasricha S, Dutt N (2008) Trends in emerging on-chip interconnect technologies. IPSJ Trans Syst LSI Design Methodology 1:2–17

    Article  Google Scholar 

  21. Pasricha S (2009) Exploring serial vertical interconnects for 3D ICs. In: IEEE/ACM design automation conference (DAC) San Diego, CA 581–586

    Google Scholar 

  22. Goodman JW et al (1984) Optical interconnects for VLSI systems. Proc IEEE 72(7):850–866

    Article  Google Scholar 

  23. Tan M et al (2008) A high-speed optical multi-drop bus for computer interconnections. In: Proceedings of the 16th IEEE Symposium on high performance interconnects, pp 3–10

    Google Scholar 

  24. Chiarulli D et al (1994) Optoelectronic buses for high performance computing. Proc IEEE 82(11):1701

    Article  Google Scholar 

  25. Kodi AK, Louri A (2004) Rapid: reconfigurable and scalable all-photonic in-104 interconnect for distributed shared memory multiprocessors. J Lightwave Technol 22:2101–2110

    Article  Google Scholar 

  26. Kochar C et al (2007) Nd-rapid: a multidimensional scalable fault-tolerant optoelectronic interconnection for high performance computing systems. J Opt Networking 6(5):465–481

    Article  Google Scholar 

  27. Ha J, Pinkston T (1997) Speed demon: cache coherence on an optical multichannel interconnect architecture. J Parallel Distrib Comput 41(1):78–91

    Article  Google Scholar 

  28. Carrera EV, Bianchini R (1998) OPNET: a cost-effective optical network for multiprocessors. In: Proceedings of the international conference on supercomputing ’98 401–408

    Google Scholar 

  29. Batten C et al (2008) Building many core processor-to-dram networks with monolithic silicon photonics. In: Proceedings of the 16th annual symposium on high-performance interconnects, August 27–28, pp 21–30 Stanford, CA

    Google Scholar 

  30. Collet JH, Caignet F, Sellaye F, Litaize D (2003) Performance constraints for onchip optical interconnects. IEEE J Sel Top Quantum Electron 9(2):425–432

    Article  Google Scholar 

  31. Tosik G et al (2004) Power dissipation in optical and metallic clock distribution networks in new VLSI technologies. IEE Electron Lett 40(3):198–200

    Article  Google Scholar 

  32. Kobrinsky MJ et al (2004) On-chip optical interconnects. Intel Technol J 8(2):129–142

    Google Scholar 

  33. Chen G, Chen H, Haurylau M, Nelson N, Albonesi D, Fauchet PM, Friedman EG (2005) Predictions of CMOS compatible on-chip optical interconnect. In: Proceedings of the SLIP, pp 13–20 San Francisco, CA

    Google Scholar 

  34. Ian O’Connor (2004) Optical solutions for system-level interconnect. In: Proceedings of the SLIP Paris, France

    Google Scholar 

  35. Pappu AM, Apsel AB (2005) Analysis of intrachip electrical and optical fanout. Appl Opt 44(30):6361–6372

    Article  Google Scholar 

  36. Benini L, Micheli GD (2002) Networks on chip: a new SoC paradigm. IEEE Comput 49(2/3):70–71

    Article  Google Scholar 

  37. Dally WJ, Towles B (2001) Route packets, not wires: on-chip interconnection networks. In: Design automation conference, pp 684–689 Las Vegas, NV

    Google Scholar 

  38. Vangal S et al (2007) An 80-tile 1.28 TFLOPS network-on-chip in 65 nm CMOS. In: Proceedings of the ISSCC San Francisco, CA

    Google Scholar 

  39. Shacham A, Bergman K, Carloni L (2007) The case for low-power photonic networks on chip. In: Proceedings of the DAC 2007 San Diego, Ca

    Google Scholar 

  40. Kirman N et al (2006) Leveraging optical technology in future bus-based chip multiprocessors. In: Proceedings of the MICRO Orlando, FL

    Google Scholar 

  41. Vantrease D et al (2008) Corona: system implications of emerging nanophotonic technology. In: Proceedings of the ISCA Beijing, China

    Google Scholar 

  42. Poon AW, Xu F, Luo X (2008) Cascaded active silicon microresonator array cross-connect circuits for WDM networks-on-chip. Proc SPIE Int Soc Opt Eng 6898:689812

    Google Scholar 

  43. Kodi A, Morris R, Louri A, Zhang X (2009) On-chip photonic interconnects for scalable multi-core architectures. In: Proceedings of the 3rd ACM/IEEE international symposium on network-on-chip (NoCs’09), San Diego, 10–13 May 2009, p 90

    Google Scholar 

  44. Pan Y et al (2009) Firefly: illuminating future network-on-chip with nanophotonics. In: Proceedings of the ISCA, pp 429–440

    Google Scholar 

  45. Hsieh I-W et al (2006) Ultrafast-pulse self-phase modulation and third-order dispersion in si photonic wire-waveguides. Opt Express 14(25):12380–12387

    Article  Google Scholar 

  46. Gunn C (2006) CMOS photonics for high-speed interconnects. IEEE Micro 26(2):58–66

    Article  Google Scholar 

  47. Barrios CA et al (2003) Low-power-consumption short-length and high-modulation-depth silicon electro-optic modulator. J Lightwave Technol 21(4):1089–1098

    Article  Google Scholar 

  48. Woo S, Ohara M, Torrie E, Singh J, Gupta A (1995) The SPLASH-2 programs: characterization and methodological considerations. In: Proceedings of the international symposium on computer architecture (ISCA), Santa Margherita Ligure, June 1995, pp 24–36

    Google Scholar 

  49. Eldada L, Shacklette LW (2000) Advances in polymer integrated optics. IEEE JQE 6(1): 54–68

    Google Scholar 

  50. Gupta A et al (2004) High-speed optoelectronics receivers in SiGe. In: Proceedings of the VLSI design, pp 957–960

    Google Scholar 

  51. Lee BG et al (2007) Demonstrated 4  ×  4 Gbps silicon photonic integrated parallel electronic to WDM interface. OFC

    Google Scholar 

  52. Dobkin R et al (2008) Parallel vs. serial on-chip communication. In: Proceedings of the SLIP Newcastle, United Kingdom

    Google Scholar 

  53. Morgenshtein A et al (2004) Comparative analysis of serial vs parallel links in NoC. In: Proceedings of the SSOC

    Google Scholar 

  54. Ghoneima M et al (2005) Serial-link bus: a low-power on-chip bus architecture. In: Proceedings of the ICCAD San Jose, CA

    Google Scholar 

  55. Kimura S et al (2003) An on-chip high speed serial communication method based on independent ring oscillators. In: Proceedings of the ISSCC

    Google Scholar 

  56. I-Chyn Wey et al (2005) A 2 Gb/s high-speed scalable shift-register based on-chip serial communication design for SoC applications. In: Proceedings of the ISCAS

    Google Scholar 

  57. Saneei M, Afzali-Kusha1 A, Pedram M (2008) Two high performance and low power serial communication interfaces for on-chip interconnects. In: Proceedings of the CJECE

    Google Scholar 

  58. Woo SC et al (1995) The SPLASH-2 programs: characterization and methodological considerations. In: Proceedings of the ISCAS S. Margherita Ligure, Italy

    Google Scholar 

  59. Pasricha S, Dutt N (2008) The optical ring bus (ORB) on-chip communication architecture. CECS technical report, February 2008

    Google Scholar 

  60. SystemC initiative. www.systemc.org Accessed on Oct 2011

  61. Müller W, Ruf J, Rosenstiel W (2003) SystemC methodologies and applications. Kluwer, Norwell

    MATH  Google Scholar 

  62. Adya SN, Markov IL (2003) Fixed-outline floorplanning: enabling hierarchical design. In: IEEE Transactions on TVLSI

    Google Scholar 

  63. Ismail YI, Friedman EG (2000) Effects of inductance on the propagation delay and repeater insertion in VLSI circuits, IEEE TVLSI 8(2):195–206

    Google Scholar 

  64. Kretzschmar C et al (2004) Why transition coding for power minimization of on-chip buses does not work. In: DATE

    Google Scholar 

  65. Pasricha S, Park Y, Kurdahi F, Dutt N (2006) System-level power-performance trade-offs in bus matrix communication architecture synthesis. In: CODES+ISSS

    Google Scholar 

  66. Berkeley Predictive Technology Model, U.C. Berkeley. http://www-devices.eecs.berkeley.edu/∼ptm/ Accessed on Oct 2011

  67. Taylor M et al (2002) The raw microprocessor. IEEE Micro

    Google Scholar 

  68. Vangal S et al (2007) An 80-tile 1.28 TFLOPS network-on-chip in 65 nm CMOS. In: Proceeindgs spelling error with Proceedings of the IEEE ISSCC Proceedings San Francisco, CA

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sudeep Pasricha .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Pasricha, S., Dutt, N.D. (2013). On-Chip Optical Ring Bus Communication Architecture for Heterogeneous MPSoC. In: O'Connor, I., Nicolescu, G. (eds) Integrated Optical Interconnect Architectures for Embedded Systems. Embedded Systems. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-6193-8_5

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-6193-8_5

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-6192-1

  • Online ISBN: 978-1-4419-6193-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics