Skip to main content

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 115))

  • 918 Accesses

Abstract

Integrated circuit (IC) chips, which lie at the heart of modern computers, are subject to silicon-surface imperfections, contaminants, wire shorts and other defects. Over time, IC technology scaling has heightened device sensitivity to a different kind of error, known as a soft, or transient errors. Soft errors are caused by external noise or radiation that temporarily affects circuit behavior without permanently damaging the hardware. With the advent of nanoscale computing, soft errors are beginning to affect not only memory but also logic. Here, we review the technology trends that lead to increased vulnerability to soft errors and other sorts of uncertainty in circuit behavior. We also provide an overview of previous work on soft-error rate (SER) analysis, fault-tolerant design, soft-error rate testing, and probabilistic circuit analysis.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Siewiorek DP, Swarz RS (1992) Reliable computer systems, 2nd edn. Digital Press.

    Google Scholar 

  2. May TC, Woods MH (1979) Alpha-particle-induced soft errors in dynamic memories. IEEE Trans Electron Devices 26:2–9

    Google Scholar 

  3. Ziegler JF et al (1996) Terrestrial cosmic rays. IBM J of Res Dev 40(1):19–39

    Google Scholar 

  4. Shivakumar P et al (2002) Modeling the effect of technology trends on soft error rate of combinational logic. In: Proceedings of ICDSN, pp 389–398

    Google Scholar 

  5. Ziegler JF et al (1996) IBM experiments in soft fails in computer electronics (1978–1994). IBM J of Res Dev 40(1):3–18

    Google Scholar 

  6. Heidel DF et al (2006) Single-event-upset critical charge measurements and modelling of 65 nm Silicon-on-Insulator Latches and Memory Cells. IEEE Trans Nucl Sci 53(6):3512–3517

    Google Scholar 

  7. Rodbell K et al (2007) Low-energy proton-induced single-event-upsets in 65 nm node, silicon-on-insulator, latches and memory cells. IEEE Trans Nucl Sci 54(6):2474–2479

    Google Scholar 

  8. Heidel DF et al (2008) Alpha-particle induced upsets in advanced CMOS circuits and technology. IBM J of Res Dev 52(3):225–232

    Google Scholar 

  9. Grove A (2002) Changing Vectors of Moore’s Law. International electronic devices meeting, http://www.intel.com/research/silicon/mooreslaw.htm

  10. Keyes RW, Landauer R (1970) Minimal energy dissipation in computation. IBM J of Res Dev 14(2):152-157

    Google Scholar 

  11. IROC Technologies (2002) White paper on VDSM IC logic and memory signal integrity and soft errors. http://www.iroctech.com

  12. Rabaey JM, Chankrakasan A, Nikolic B (2003) Digital integrated circuits. Prentice Hall

    Google Scholar 

  13. Borkar S et al (2003) Parameter variations and impact on circuits and microarchitecture. In: Proceedings of DAC, pp 328–342

    Google Scholar 

  14. Lent CS, Tougaw PD, Porod W (1993) Bistable saturation in coupled quantum dots for quantum cellular automata. Appl Phys Lett 62(7):714–716

    Google Scholar 

  15. Dysart TJ, Kogge PM (2007) Probabilistic analysis of a molecular quantum-dot cellular automata adder. In: Proceedings of DFT, pp 478–486

    Google Scholar 

  16. Kummamuru RK et al (1993) Operation of Quantum-Dot Cellular Automata (QCA), shift registers and analysis of errors. IEEE Trans Electron Devices 50–59:1906–1913

    Google Scholar 

  17. Rejimon T, Bhanja S (2006) Probabilistic error model for unreliable Nano-logic Gates. In: Procedings of NANO, pp 47–50

    Google Scholar 

  18. Krishnaswamy S, Viamontes GF, Markov IL, Hayes JP (2005) Accurate reliability evaluation and enhancement via probabilistic transfer matrices. In: Proceedings of DATE, pp 282–287

    Google Scholar 

  19. Krishnaswamy S, Viamontes GF, Markov IL, Hayes JP (2008) Probabilistic transfer matrices in symbolic reliability analysis of logic circuits. ACM Trans Des Autom of Electron Syst, 13(1), article 8

    Google Scholar 

  20. Genie SMILE software, http://genie.sis.pitt.edu/

  21. Openbayes software, http://www.openbayes.org/

  22. Bahar RI, Mundy J, Chan J (2003) A Probabilistic based design methodology for nanoscale computation. In: Proceedings of ICCAD, pp 480–486

    Google Scholar 

  23. Nepal K et al (2005) Designing logic circuits for probabilistic computation in the presence of noise. In: Proceedings of DAC, pp 485–490

    Google Scholar 

  24. Bhaduri D, Shukla S (2005) NANOLAB-A tool for evaluating reliability of defect-tolerant nanoarchitectures. IEEE Trans Nanotechnol 4(4):381–394

    Google Scholar 

  25. Ramalingam A et al (2006) An accurate sparse matrix based framework for statistical static timing analysis. In: Proceedings of ICCAD, pp. 231–236

    Google Scholar 

  26. Zhang M, Shanbhag NR (2004) A soft error rate analysis (SERA) methodology. In: Proceedings of ICCAD, pp 111–118

    Google Scholar 

  27. Zhang B, Wang WS, Orshansky M (2006) FASER: fast analysis of soft error susceptibility for cell-based designs. In: Proceedings of ISQED, pp 755–760

    Google Scholar 

  28. Rao R, Chopra K, Blaauw D, Sylvester D (2006) An efficient static algorithm for computing the soft error rates of combinational circuits. In: Proceedings of DATE, pp 164–169

    Google Scholar 

  29. Krishnaswamy S, Plaza SM, Markov IL, Hayes JP (2007) Enhancing design robustness with reliability-aware resynthesis and logic simulation. In: Proceedings of ICCAD, pp 149–154

    Google Scholar 

  30. Krishnaswamy S, Plaza SM, Markov IL, Hayes JP (2009) Signature-based SER analysis and design of logic circuits. IEEE Trans CAD, 28(1):59–73

    Google Scholar 

  31. Krishnaswamy S, Markov IL, Hayes JP (2008) On the role of timing masking in reliable logic circuit design. In: Proceedings of DAC, pp 924–929

    Google Scholar 

  32. Mukherjee SS, Kontz M, Reinhardt SK (2002) Detailed design and evaluation of redundant multithreading alternatives. In: Proceedings of ISCA, pp 99–110

    Google Scholar 

  33. Rotenberg E (1999) AR-SMT: A microarchitectural approach to fault tolerance in microprocessor. In: Proceedings of Fault-Tolerant, Computing Systems, pp 84–91

    Google Scholar 

  34. Austin T (1999) DIVA: A reliable substrate for deep submicron microarchitecture design. In: Proceedings of MICRO, pp 196–207

    Google Scholar 

  35. Weaver C, Austin T (2001) A fault tolerant approach to microprocessor design. In: Proceedings of DSN, pp 411–420

    Google Scholar 

  36. Racunas P, Constantinides K, Manne S, Mukherjee SS (2007) Perturbation-based fault screening. In: Proceedings of HPCA, pp 169–180

    Google Scholar 

  37. Armstrong DN, Kim H, Mutlu O, Patt YN (2004) Wrong path events: Exploiting unusual and illegal program behavior for early misprediction detection and recovery. In: Proceedings of MICRO, pp 119–128

    Google Scholar 

  38. Mukherjee SS et al (2003) A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor. In: Proceedings of MicroArch, pp 29–40

    Google Scholar 

  39. von Neumann J (1956) Probabilistic logics & synthesis of reliable organisms from unreliable components. Autom stud, 34:43–98

    Google Scholar 

  40. Mohanram K, Touba NA (2003) Partial error masking to reduce soft error failure rate in logic circuits. In: Proceedings of DFT, pp 433–440

    Google Scholar 

  41. Almukhaizim S et al (2006) Seamless integration of SER in rewiring-based design space exploration. In: Proceedings of ITC, pp 1–9

    Google Scholar 

  42. Baze MP, Buchner SP, McMorrow D (2000) A digital CMOS design technique for SEU hardening. IEEE Trans Nucl Sci 47(6):2603–2608

    Google Scholar 

  43. Zhang M, Shanbhag N (2005) A CMOS design style for logic circuit hardening. In: Proceedings of International Reliability Physics, Symposium, pp 223–229

    Google Scholar 

  44. Nicolaidis M (1999) Time redundancy based soft-error tolerance to rescue nanometer technologies. In: Proceedings of VTS, pp 86–94

    Google Scholar 

  45. Ernst D et al (2003) Razor: circuit-level correction of timing errors for low power operation. IEEE Micro 24(6):10–20

    Google Scholar 

  46. Zhang M et al (2006) Sequential element design with built-in soft error resilience. IEEE Trans VLSI 14(12):1368–1378

    Google Scholar 

  47. Miskov-Zivanov N, Marculescu D, (2006) MARS-C: Modeling and reduction of soft errors in combinational circuits. In: Proceedings of DAC, pp 767–772

    Google Scholar 

  48. Kobayashi H et al (2004) Comparison between neutron-induced system-SER and accelerated-SER in SRAMs. In: Proceedings of International Reliability Physics, Symposium, pp 288–293

    Google Scholar 

  49. Wilkinson J, Hareland S (2005) A cautionary tale of soft errors induced by SRAM packaging materials. IEEE Trans Device Mater Reliab 5(3): 448–433

    Google Scholar 

  50. Hayes JP, Polian I, Becker B (2007) An analysis framework for transient-error tolerance. In: Proceedings of VTS, pp 249–255

    Google Scholar 

  51. Sanyal A, Ganeshpure K, Kundu S (2008) On accelerating soft-error detection by targeted pattern generation. In: Proceedings of ISQED, pp 723–728

    Google Scholar 

  52. Krishnaswamy S, Markov IL, Hayes JP (2007) Tracking uncertainty with probabilistic logic circuit testing. IEEE Des Test 24(4):312–321

    Google Scholar 

  53. Krishnaswamy S, Markov IL, Hayes JP (2005) Testing logic circuits for transient faults. In: Proceedings of ETS, pp 102–107

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Smita Krishnaswamy .

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media Dordrecht

About this chapter

Cite this chapter

Krishnaswamy, S., Markov, I.L., Hayes, J.P. (2013). Introduction. In: Design, Analysis and Test of Logic Circuits Under Uncertainty. Lecture Notes in Electrical Engineering, vol 115. Springer, Dordrecht. https://doi.org/10.1007/978-90-481-9644-9_1

Download citation

  • DOI: https://doi.org/10.1007/978-90-481-9644-9_1

  • Published:

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-90-481-9643-2

  • Online ISBN: 978-90-481-9644-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics