Skip to main content

Introduction

  • Chapter
  • First Online:
Network-on-Chip Architectures

Abstract

Since the beginning of the new millennium, the world of digital system design has witnessed an unprecedented phenomenon: a rapid and persistent reduction in feature sizes well into the nanoscale realm. Advancements in device technology and fabrication techniques have enabled designers to tread into previously unchartered territories; integration of billions of transistors on-die is now a reality. At such integration levels, it is imperative to employ parallelism to effectively utilize the transistors [1].

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. L. Hammond, B. A. Nayfeh, and K. Olukotun, “A single-chip multiprocessor,” In IEEE Computer, vol. 30, pp. 79-85, 1997

    Google Scholar 

  2. K. Olukotun, B. Nayfeh, L. Hammond, K. Wilson, and K. Y. Chang, “The Case for a Single-Chip Multiprocessor,” in Proceedings of the 7th International Symposium on Architectural Support for Programming Languages and Operating Systems (ASPLOS)

    Google Scholar 

  3. J. A. Kahle, M. N. Day, H. P. Hofstee, C. R. Johns, T. R. Maeurer, and D. Shippy, “Introduction to the Cell Multiprocessor,” IBM Journal of Research and Development, vol. 49, pp. 589-604, 2005.

    Google Scholar 

  4. P. Kongetira, K. Aingaran, and K. Olukotun, “Niagara: a 32-way multithreaded Sparc processor,” in IEEE Micro, vol. 25, pp. 21-29, 2005.

    Google Scholar 

  5. Intel Corporation, “Intel Develops Tera-Scale Research Chips,” http://www.intel.com/pressroom/archive/releases/20060926corp_b.htm, September 26, 2006.

  6. S. Heo and K. Asanovic, “Replacing global wires with an on-chip network: a power analysis,” in Proceedings of the 2005 International Symposium on Low Power Electronics and Design (ISLPED), pp. 369–374, 2005.

    Google Scholar 

  7. G. Smith, “Platform based design: Does it answer the entire SoC challenge?,” in Proceedings of the 41st Design Automation Conference (DAC), pp. 407–407, 2004.

    Google Scholar 

  8. International Technology Roadmap for Semiconductors (ITRS), http://www.itrs.net/, 2005 Edition.

  9. J. M. Rabaey, A. Chandrakasan, and B. Nikolic, Digital Integrated Circuits: A Design Perspective: Prentice Hall, 2002.

    Google Scholar 

  10. R. Ho, K. W. Mai, and M. A. Horowitz, “The future of wires,” in Proceedings of the IEEE, vol. 89, pp. 490-504, 2001.

    Google Scholar 

  11. P. Rickert, “Problems or opportunities? Beyond the 90nm frontier,” ICCAD Keynote Address, 2004.

    Google Scholar 

  12. Krewell, “Multicore Showdown,” Microprocessor Report, vol. 19, pp. 41–45, 2005.

    Google Scholar 

  13. L. Benini and G. D. Micheli, “Networks on Chips: A New SoC Paradigm,” IEEE Computer, vol. 35, pp. 70-78, 2002.

    Google Scholar 

  14. W. J. Dally and B. Towles, “Route Packets, Not Wires: On-Chip Interconnection Networks,” in Proceedings of the Design Automation Conference (DAC), 2001.

    Google Scholar 

  15. T. D. Richardson, C. Nicopoulos, D. Park, V. Narayanan, X. Yuan, C. Das, and V. Degalahal, “A Hybrid SoC Interconnect with Dynamic TDMA-Based Transaction-Less Buses and On-Chip Networks,” in Proceedings of the International Conference on VLSI Design, pp. 657–664, 2006.

    Google Scholar 

  16. A. Hemani, A. Jantsch, S. Kumar, A. Postula, J. Oberg, M. Millberg, and D. Lindqvist, “Network on chip: An architecture for billion transistor era,” in Proceedings of the IEEE NorChip Conference, 2000.

    Google Scholar 

  17. P. Guerrier and A. Greiner, “A generic architecture for on-chip packet-switched interconnections,” in Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE), pp. 250–256, 2000.

    Google Scholar 

  18. S. Li, L. S. Peh, and N. K. Jha, “Dynamic voltage scaling with links for power optimization of interconnection networks,” in Proceedings of the 9th International Symposium on High-Performance Computer Architecture (HPCA), pp. 91–102, 2003.

    Google Scholar 

  19. R. Kumar, V. Zyuban, and D. M. Tullsen, “Interconnections in multi-core architectures: understanding mechanisms, overheads and scaling,” in Proceedings of the 32nd International Symposium on Computer Architecture (ISCA), pp. 408–419, 2005.

    Google Scholar 

  20. M. B. Taylor, J. Kim, J. Miller, D. Wentzlaff, F. Ghodrat, B. Greenwald, H. Hoffman, P. Johnson, L. Jae-Wook, W. Lee, A. Ma, A. Saraf, M. Seneski, N. Shnidman, V. Strumpen, M. Frank, S. Amarasinghe, and A. Agarwal, “The Raw microprocessor: a computational fabric for software circuits and general-purpose programs,” IEEE Micro, vol. 22, pp. 25-35, 2002.

    Google Scholar 

  21. T. Simunic and S. Boyd, “Managing power consumption in networks on chips,” in Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE), pp. 110–116, 2002.

    Google Scholar 

  22. W. Hangsheng, L. S. Peh, and S. Malik, “Power-driven design of router microarchitectures in on-chip networks,” in Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 105–116, 2003.

    Google Scholar 

  23. S. Li, L. S. Peh, and N. K. Jha, “PowerHerd: a distributed scheme for dynamically satisfying peak-power constraints in interconnection networks,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 25, p

    Google Scholar 

  24. E. T. Ogawa, K. Jinyoung, G. S. Haase, H. C. Mogul, and J. W. McPherson, “Leakage, breakdown, and TDDB characteristics of porous low-k silica-based interconnect dielectrics,” in Proceedings of the IEEE International Reliability Physics Symposium, pp. 166–172, 2003.

    Google Scholar 

  25. J. Srinivasan, S. V. Adve, P. Bose, and J. A. Rivers, “The impact of technology scaling on lifetime reliability,” in Proceedings of the International Conference on Dependable Systems and Networks (DSN), pp. 177–186, 2004.

    Google Scholar 

  26. E. Rosenbaum, P. M. Lee, R. Moazzami, P. K. Ko, and C. Hu, “Circuit reliability simulator-oxide breakdown module,” in Proceedings of the International Electron Devices Meeting, pp. 331–334, 1989.

    Google Scholar 

  27. S. M. Alam, L. Gan Chee, C. V. Thompson, and D. E. Troxel, “Circuit level reliability analysis of Cu interconnects,” in Proceedings of the 5th International Symposium on Quality Electronic Design (ISQED), pp. 238–243, 2004.

    Google Scholar 

  28. A. T. Krishnan, V. Reddy, S. Chakravarthi, J. Rodriguez, S. John, and S. Krishnan, “NBTI impact on transistor and circuit: models, mechanisms and scaling effects [MOSFETs],” In Technical Digest of the IEEE International Electron Devices Meeting, pp. 14.5.1-14.5.4, 2003.

    Google Scholar 

  29. B. C. Paul, K. Kunhyuk, H. Kufluoglu, M. A. Alam, and K. Roy, “Temporal Performance Degradation under NBTI: Estimation and Design for Improved Reliability of Nanoscale Circuits,” in Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE), vol. 1, pp. 1-6, 2006.

    Google Scholar 

  30. S. Mahapatra, C. D. Parikh, V. R. Rao, C. R. Viswanathan, and J. Vasi, “Device scaling effects on hot-carrier induced interface and oxide-trapped charge distributions in MOSFETs,” IEEE Transactions on Electron Devices, vol. 47, pp. 789

    Google Scholar 

  31. N. Shahin, I. Ali, and P. Massoud, “Crosstalk analysis in nanometer technologies,” in Proceedings of the 16th ACM Great Lakes Symposium on VLSI (GLSVLSI), 2006.

    Google Scholar 

  32. H. H. Chen and D. D. Ling, “Power Supply Noise Analysis Methodology For Deep-submicron Vlsi Chip Design,” in Proceedings of the Design Automation Conference (DAC), pp. 638-643, 1997.

    Google Scholar 

  33. R. Baumann, “The impact of technology scaling on soft error rate performance and limits to the efficacy of error correction,” Digest of the International Electron Devices Meeting, pp. 329-332, 2002.

    Google Scholar 

  34. S. Das, A. Chandrakasan, and R. Reif, “Timing, energy, and thermal performance of three-dimensional integrated circuits,” in Proceedings of the 14th ACM Great Lakes Symposium on VLSI (GLSVLSI), 2004.

    Google Scholar 

  35. R. Marculescu, “Networks-on-chip: the quest for on-chip fault-tolerant communication,” in Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 8-12, 2003.

    Google Scholar 

  36. T. Dumitras, S. Kerner, and R. Marculescu, “Towards on-chip fault-tolerant communication,” in Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 225-232, 2003.

    Google Scholar 

  37. D. Park, C. Nicopoulos, J. Kim, N. Vijaykrishnan, and C. R. Das, “Exploring Fault-Tolerant Network-on-Chip Architectures,” in Proceedings of the International Conference on Dependable Systems and Networks (DSN), pp. 93-104, 2006.

    Google Scholar 

  38. E. Chang, B. Stine, T. Maung, R. Divecha, D. Boning, J. Chung, K. Chang, G. Ray, D. Bradbury, O. S. Nakagawa, S. Oh, and D. Bartelink, “Using a statistical metrology framework to identify systematic and random sources of die- and wafer-level ILD thickness variation in CMP processes,” in the Proceedings of the International Electron Devices Meeting, pp. 499-502, 1995.

    Google Scholar 

  39. C. A. Nicopoulos, D. Park, J. Kim, N. Vijaykrishnan, M. S. Yousif, and C. R. Das, “ViChaR: A Dynamic Virtual Channel Regulator for Network-on-Chip Routers,” in Proceedings of the International Symposium on Microarchitecture (MICRO), pp. 333

    Google Scholar 

  40. J. Kim, C. Nicopoulos, D. Park, N. Vijakrishnan, M. S. Yousif, and C. R. Das, “A Gracefully Degrading and Energy-Efficient Modular Router Architecture for On-Chip Networks,” in Proceedings of the 33rd Annual International Symposium on Computer Architecture (ISCA), pp. 4-15, 2006.

    Google Scholar 

  41. J. Kim, D. Park, C. Nicopoulos, N. Vijaykrishnan, and C. R. Das, “Design and analysis of an NoC architecture from performance, reliability and energy perspective,” in Proceedings of the Symposium on Architecture for Networking and Communications Systems (ANCS), pp. 173 - 182, 200

    Google Scholar 

  42. D. Park, C. Nicopoulos, J. Kim, N. Vijaykrishnan, and C. R. Das, “A Distributed Multi-Point Network Interface for Low-Latency, Deadlock-Free On-Chip Interconnects,” in Proceedings of the 1st International Conference on Nano-Networks (Nano-Net), pp. 1-6, 2006.

    Google Scholar 

  43. F. Li, C. Nicopoulos, T. Richardson, Y. Xie, N. Vijakrishnan, and N. Kandemir, “Design and Management of 3D Chip Multiprocessors Using Network-in-Memory,” in Proceedings of the 33rd Annual International Symposium on Computer Architecture (ISCA), pp. 130-141, 2006.

    Google Scholar 

  44. J. Kim, C. A. Nicopoulos, D. Park, R. Das, X. Yuan, N. Vijaykrishnan, M. S. Yousif, and C. R. Das, “A novel dimensionally-decomposed router for on-chip communication in 3D architectures,” in Proceedings of the 34th Annual International Symposium on Computer Architecture (ISCA), pp. 138 - 149, 200

    Google Scholar 

  45. C.A. Nicopoulos, S. Srinivasan, A. Yanamandra, D. Park, N. Vijaykrishnan, C.R. Das, and M.J. Irwin, “On the Effects of Process Variation in Network-on-Chip Architectures,” accepted (to appear in print; published online in 10.2008) in the IEEE Transactions on Dependable and Secure Computing (TDSC).

    Google Scholar 

  46. D. Park, R. Das, C. Nicopoulos, J. Kim, N. Vijaykrishnan, R. Iyer, and C. R. Das, “Design of a Dynamic Priority-Based Fast Path Architecture for On-Chip Interconnects,” in Proceedings of the Hot Interconnects Symposium, 2007.

    Google Scholar 

  47. R. Das, A.K. Mishra, C.A. Nicopoulos, D. Park, N. Vijaykrishnan, R. Iyer, M.S. Yousif, C.R. Das, “Performance and Power Optimization through Data Compression in Network-on-Chip Architectures,” in Proceedings of the 14th International Symposium on High-Performance Computer Architecture (HPCA), pp. 215-225, 2008.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Chrysostomos Nicopoulos .

Rights and permissions

Reprints and permissions

Copyright information

© 2009 Springer Science+Business Media B.V.

About this chapter

Cite this chapter

Nicopoulos, C., Narayanan, V., Das, C.R. (2009). Introduction. In: Network-on-Chip Architectures. Lecture Notes in Electrical Engineering, vol 45. Springer, Dordrecht. https://doi.org/10.1007/978-90-481-3031-3_1

Download citation

  • DOI: https://doi.org/10.1007/978-90-481-3031-3_1

  • Published:

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-90-481-3030-6

  • Online ISBN: 978-90-481-3031-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics