Skip to main content

On-Chip NBTI and PBTI Tracking through an All-Digital Aging Monitor Architecture

  • Conference paper
Book cover Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation (PATMOS 2012)

Abstract

Although adaptive strategies based on the Measure-and-Control (M&C) design paradigm have been proven to be effective methods to achieve aging resilient circuits, their implementation requires accurate monitoring architectures and integrated aging sensors. This paper presents a new on-chip, fully digital monitoring architecture for tracking BTI-induced aging effects on digital ICs. The proposed solution is based on delay-to-threshold coherency of MOS devices and measures differential delay across pass-transistor chains. The aging monitor is conceived and designed as a self-contained standard gate consisting of reference and under stress sensors with embedded measurement circuitries and a control structure for data capturing. To guarantee independent measurements of both Positive- and Negative-BTI, two separate aging sensor blocks are used. Detailed SPICE simulations conducted for a low-power 40nm CMOS technology indicates the actual capability of the proposed circuit to capture BTI-induced aging.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 49.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Paul, B.C., Kang, K., Kufluoglu, H., Alam, M.A., Roy, K.: Impact of NBTI on the temporal performance degradation of digital circuits. IEEE Electron. Device Letters 26(8), 560–562 (2005)

    Article  Google Scholar 

  2. Bhardwaj, S., Wang, W., Vattikonda, R., Cao, Y., Vrudhula, S.: Predictive Modeling of the NBTI Effect for Reliable Design. In: IEEE CICC 2006, pp. 189–192 (2006)

    Google Scholar 

  3. Scarpa, A., Ward, D., Dubois, J., van Marwijk, L., Gausepohl, S., Campos, R., Sim, K.Y., Cacciato, A., Kho, R., Bolt, M.: Negative-bias temperature instability cure by process optimization. IEEE Tran. on Electron. Devices 53(6), 1331–1339 (2006)

    Article  Google Scholar 

  4. Basu, S., Vemuri, R.: Process Variation and NBTI Tolerant Standard Cells to Improve Parametric Yield and Lifetime of ICs. In: Proc. of the IEEE ISVLSI 2007, pp. 291–298 (2007)

    Google Scholar 

  5. Yang, X., Saluja, K.: Combating NBTI Degradation via Gate Sizing. In: Proc. of the 8th Inte.l Symp. on Quality Electronic Design (ISQED 2007), pp. 47–52. IEEE Computer Soc. (2007)

    Google Scholar 

  6. Calimera, A., Macii, E., Poncino, M.: NBTI-Aware Clustered Power Gating. ACM Trans. Des. Autom. Electron. Syst. 16(1), Article 3 (2010)

    Google Scholar 

  7. Qi, Z., Stan, M.R.: NBTI resilient circuits using adaptive body biasing. In: Proc. of the 18th ACM Great Lakes Symposium on VLSI (GLSVLSI 2008), pp. 285–290 (2008)

    Google Scholar 

  8. Basoglu, M., Orshansky, M., Erez, M.: NBTI-aware DVFS: a new approach to saving energy and increasing processor lifetime. In: Proc. of the ISLPED 2010, pp. 253–258 (2010)

    Google Scholar 

  9. Dadgour, H., Banerjee, K.: Aging-resilient design of pipelined architectures using novel detection and correction circuits. In: Proceedings of the DATE 2010, pp. 244–249 (2010)

    Google Scholar 

  10. Abella, J., Vera, X., Gonzalez, A.: Penelope: The NBTI-Aware Processor. In: Proceedings of the 40th Annual IEEE/ACM MICRO 40, pp. 85–96. IEEE Computer Society (2007)

    Google Scholar 

  11. Chan, T., Sartori, J., Gupta, P., Kumar, R.: On the efficacy of NBTI mitigation techniques. In: Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1–6 (2011)

    Google Scholar 

  12. Denais, M., Parthasarathy, C., Ribes, G., Rey-Tauriac, Y., Revil, N., Bravaix, A., Huard, V., Perrier, F.: On-the-fly characterization of NBTI in ultra-thin gate oxide PMOSFET’s. In: IEEE International Electron Devices Meeting. IEDM Technical Digest, pp. 109–112 (2004)

    Google Scholar 

  13. Dadgour, H.F., Banerjee, K.: A built-in aging detection and compensation technique for improving reliability of nanoscale CMOS designs. In: 2010 IEEE IRPS, pp. 822–825 (2010)

    Google Scholar 

  14. Keane, J., Wang, X., Persaud, D., Kim, C.H.: An All-In-One Silicon Odometer for Separately Monitoring HCI, BTI, and TDDB. IEEE JSSC 45(4), 817–829 (2010)

    Google Scholar 

  15. Keane, J., Kim, T.-H., Kim, C.H.: An on-chip NBTI sensor for measuring PMOS threshold voltage degradation. In: Proc. of the ISLPED 2007, pp. 189–194 (2007)

    Google Scholar 

  16. Singh, P., Karl, E., Sylvester, D., Blaauw, D.: Dynamic NBTI management using a 45nm multi-degradation sensor. In: 2010 IEEE CICC, pp. 1–4 (2010)

    Google Scholar 

  17. Karl, E., Singh, P., Blaauw, D., Sylvester, D.: Compact In-Situ Sensors for Monitoring Negative-Bias-Temperature-Instability Effect and Oxide Degradation. In: IEEE International Solid-State Circuits Conference, ISSCC 2008, pp. 410–623 (2008)

    Google Scholar 

  18. Kim, K.K., Wang, W., Choi, K.: On-Chip Aging Sensor Circuits for Reliable Nanometer MOSFET Digital Circuits. IEEE Transactions on Circuits and Systems II: Express Briefs 57(10), 798–802 (2010)

    Article  Google Scholar 

  19. Kang, K., Park, S.P., Kim, K., Roy, K.: On-Chip Variability Sensor Using Phase-Locked Loop for Detecting and Correcting Parametric Timing Failures. IEEE Trans. on Very Large Scale Integration (VLSI) Systems 18(2), 270–280 (2010)

    Article  Google Scholar 

  20. Kim, J.-J., Linder, B.P., Rao, R.M., Kim, T.-H., Lu, P.-F., Jenkins, K.A., Kim, C.H., Bansal, A., Mukhopadhyay, S., Chuang, C.-T.: Reliability monitoring ring oscillator structures for isolated/combined NBTI and PBTI measurement in high-k metal gate technologies. In: IEEE International Reliability Physics Symposium (IRPS), pp. 2B.4.1–2B.4.4 (2011)

    Google Scholar 

  21. Qi, Z., Wang, J., Cabe, A., Wooters, S., Blalock, T., Calhoun, B., Stan, M.: SRAM-based NBTI/PBTI sensor system design. In: 47th ACM/IEEE Design Automation Conference (DAC), pp. 849–852 (2010)

    Google Scholar 

  22. Weste, N., Harris, D.: CMOS VLSI Design: A Circuits and Systems Perspective, 4th edn. Addison-Wesley Publishing Company (2010)

    Google Scholar 

  23. Henzler, S.: Time-To-Digital Converters, 1st edn. Springer Publishing Company, Incorporated (2010)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Alidash, H.K., Calimera, A., Macii, A., Macii, E., Poncino, M. (2013). On-Chip NBTI and PBTI Tracking through an All-Digital Aging Monitor Architecture. In: Ayala, J.L., Shang, D., Yakovlev, A. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation. PATMOS 2012. Lecture Notes in Computer Science, vol 7606. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-36157-9_16

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-36157-9_16

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-36156-2

  • Online ISBN: 978-3-642-36157-9

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics