Skip to main content

Qualitative Optimization of Coupling Parasitics and Driver Width in Global VLSI Interconnects

  • Conference paper
  • 1381 Accesses

Abstract

Analyses of the effects of interconnect wires in deep sub-micron technology is of prime importance in the modern era integrated circuits. The performance parameters such as crosstalk noise and delay are fundamentally dependent on interconnects and driver sizing. The coupling parasitics are the primary source of crosstalk. This paper addresses the optimization of coupling parasitics and driver sizing qualitatively for delay and peak noise. For this study, a pair of distributed RLC lines each of 4mm length is considered. These lines are coupled inductively and capacitively. The SPICE waveforms are generated at far end of lines for varying coupling parasitics and width of aggressor driver PMOS while keeping channel width of NMOS half of PMOS. The simulation is carried out at 0.13μm, 1.5 V technology node. Both the cases of simultaneous switching of inputs i.e in-phase and out-of-phase are taken into consideration.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Kang, S.M., Leblebici, Y.: CMOS Digital Integrated Circuits-Analysis and Design. TMH, New York (2003)

    Google Scholar 

  2. Semiconductors Industry Association: International Technology Roadmap for Semiconductors (2005)

    Google Scholar 

  3. Rabaey, J.M.: Digital Integrated Circuits: A Design Perspective. Prentice-Hall, Englewood Cliffs (1996)

    Google Scholar 

  4. Bakoglu, H.B.: Circuits, Interconnects and Packaging for VLSI. Addision-Wesley, Reading (1990)

    Google Scholar 

  5. Ismail, Y.I., Friedman, E.G.: Figures of Merit to Characterize the Importance of On-Chip Inductance. IEEE Trans. on VLSI Systems 7(4), 442–449 (1999)

    Article  Google Scholar 

  6. Kevin, T.T., Friedman, E.G.: Lumped Versus Distributed RC and RLC Interconnect Impedances. In: Proc. 43rd IEEE Midwest Symp. on Circuits and Systems, Lansing MI, pp. 136–139 (2000)

    Google Scholar 

  7. Kopcsay, A.G.V., Restle, P.J., Smith, H.H., Katopis, G., Becker, W.D., Coteus, P.W., Surovic, C.W., Rubin, B.J., Dunne Jr., R.P., Gallo, T., Jenkins, K.A., Terman, L.M., Dennard, R.H., Sai-Halasz, G.A., Krauter, B.L., Knebel, D.R.: When are Transmission –Line Effects Important for On-Chip Interconnections. IEEE Trans. on Microwave Theory and Techniques 45(10), 1836–1846 (1997)

    Article  Google Scholar 

  8. Sharma, D.K., Kaushik, B.K., Sharma, R.K.: VLSI Interconnects and Their Testing - Prospects and Challenges Ahead. Journal of Engineering, Design and Technology 9(1), 63–84 (2011)

    Article  Google Scholar 

  9. Anglada, R., Rubio, A.: An Approach to Crosstalk Effect Analysis and Avoidance in Digital CMOS VLSI Circuits. International Journal of Electronics 65(1), 9–17 (1988)

    Article  Google Scholar 

  10. Ismail, Y.I., Friedman, E.G.: Effect of Inductance on Propagation Delay and Repeater Insertion in VLSI Circuits. IEEE Trans. on VLSI Systems 8(2), 195–206 (2000)

    Article  Google Scholar 

  11. Kaushik, B.K., Sarkar, S., Agarwal, R.P., Joshi, R.C.: Crosstalk Analysis and Repeater Insertion in Crosstalk Aware Coupled VLSI Interconnects. Microelectronics International 23(3), 55–63 (2006)

    Article  Google Scholar 

  12. Elgamel, M.A., Bayoumi, M.A.: Interconnect Noise Analysis and Optimization in Deep Submicron Technology. IEEE Circuits and Systems Magazine. Fourth quarter, 6–17 (2003)

    Google Scholar 

  13. Roy, A., Jingye, X., Chowdhury, M.H.: Analysis of the Impacts of Signal Slew and Skew on the Behavior of Coupled RLC Interconnects for Different Switching Patterns. IEEE Trans. on VLSI Systems 18(2) (2010)

    Google Scholar 

  14. Chowdhury, M.H., Ismail, Y.I., Kashyap, C.V., Krauter, B.L.: Performance Analysis of Deep Submicron VLSI Circuits in the Presence of Self and Mutual Inductance. Proc. IEEE Int. Symp. Cir, and Syst. 4, 197–200 (2002)

    Google Scholar 

  15. Kahng, A.B., Muddu, S., Vidhani, D.: Noise and Delay Uncertainty Studies for Coupled RC Interconnects. In: Proc. IEEE Intl. Conf. on VLSI Design, pp. 431–436 (2004)

    Google Scholar 

  16. Roy, A., Noha, M., Chowdhury, M.H.: Effects of Coupling Capacitance and Inductance on Delay Uncertainty and Clock Skew. In: Proc. of IEEE/ACH Design Automation Conf., pp. 184–187 (2007)

    Google Scholar 

  17. Sharma, D.K., Kaushik, B.K., Sharma, R.K.: Effect of Mutual Inductance and Coupling Capacitance on Propagation Delay and Peak Overshoot in Dynamically Switching Inputs. In: Proc. IEEE Intl. Conf. on Emerging Trend in Engineering and Technology, pp. 765–769 (2010)

    Google Scholar 

  18. Verma, S.K., Kaushik, B.K.: Encoding Schemes for the Reduction of Power Dissipation, Crosstalk and Delay: A Review. International Journal of Recent Trends in Engineering 3(4), 74–79 (2010)

    Google Scholar 

  19. Arunachalam, R., Acar, E., Nassif, S.R.: Optimal Shielding/Spacing Metrics for Low Power Design. In: Proc. of the IEEE Computer Society Annual Symposium on VLSI, pp. 167–172 (2003)

    Google Scholar 

  20. Zhang, J., Friedman, E.G.: Effect of Shield Insertion on Reducing Crosstalk Noise between Coupled Interconnects. In: Proc. of the IEEE International Symposium on Circuit and Systems, vol. 2, pp. 529–532 (2004)

    Google Scholar 

  21. Poltz, J.: Optimizing VLSI Interconnect Model for SPICE Simulation. Int. J. Analog Integrated Circuit Signal Processing 5, 87–94 (1994)

    Article  Google Scholar 

  22. Zaabab, A.H., Zhang, Q.J., Nakhla, M.S.: A Neural Network Approach to Circuit Optimization and Statistical Design. IEEE Trans. Microwave Theory Tech. 43, 1349–1358 (1995)

    Article  Google Scholar 

  23. Zhang, Q.J., Nakhla, M.S.: Signal Integrity Analysis and Optimization of VLSI Interconnects using Neural Network Models. In: Proc. IEEE Int. Symp. Circuits Syst., London, pp. 459–462 (1994)

    Google Scholar 

  24. Veluswami, A., Nakhla, M.S., Zhang, Q.J.: The Application of Neural Networks to EM-Based Simulation and Optimization of Interconnects in High-Speed VLSI Circuits. IEEE Trans. Microwave Theory Tech. 45(5), 712–723 (1997)

    Article  Google Scholar 

  25. Sharma, D.K., Kaushik, B.K., Sharma, R.K.: A Qualitative Approach to Optimize Coupling Capacitance for Simultaneously Switching Scenario in Coupled VLSI Interconnects. In: Proc. IEEE Intl. Conf. on Devices and Communications, pp. 1–5 (2011)

    Google Scholar 

  26. Delorme, N., Belleville, M., Chilo, J.: Inductance and Capacitance Analytic Formulas for VLSI Interconnects. Electron Lett. 32(11), 996–997 (1996)

    Article  Google Scholar 

  27. Lu, Y., Banerjee, K., Celik, M., Dutton, R.W.: A Fast Analytical Technique for Estimating the Bounds of On-Chip Clock Wire Inductance. In: Proc. IEEE Custom Integrated Circuits Conf., pp. 241–244 (2001)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2012 ICST Institute for Computer Science, Social Informatics and Telecommunications Engineering

About this paper

Cite this paper

Sharma, D.K., Kaushik, B.K., Sharma, R.K. (2012). Qualitative Optimization of Coupling Parasitics and Driver Width in Global VLSI Interconnects. In: Meghanathan, N., Chaki, N., Nagamalai, D. (eds) Advances in Computer Science and Information Technology. Computer Science and Engineering. CCSIT 2012. Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering, vol 85. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-27308-7_1

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-27308-7_1

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-27307-0

  • Online ISBN: 978-3-642-27308-7

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics