Skip to main content

System-Level Design Methodology

  • Chapter
  • First Online:
Book cover Energy-Efficient Fault-Tolerant Systems

Abstract

Design complexity of embedded systems, in particular multiprocessor system-on-chip (MPSoC), has dramatically increased over the years due to feature richness and conflicting design requirements in terms of power, performance and reliability[1]. With such design complexity, traditional design methodology with separate hardware and software design flow is becoming less feasible with high productivity and quality needs. A promising solution to tackle such design complexity is to use a set of modular design techniques during the system specification and preliminary design phases, otherwise known as electronic system-level (ESL) design methodology. ESL design methodology enables concurrent and co-operative design environment of hardware and software components of an MPSoC. Moreover, it provides with overall design space exploration to facilitate reduction of architectural and software partitioning/mapping options early in the design phase, leading to significant improvement in productivity. As a result, currently there is a lot of interest in such design methodology both in academia and industry. In this chapter, an overview of different MPSoC design challenges is given, highlighting ways to address and implement them using ESL design methodology. An extensive systemlevel case study is also presented later highlighting the design trade-offs in low power and reliable MPSoC application design.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    ftp://ftp.tek.com/tv/test/streams/Element/

References

  1. J.A. Abraham, D.P. Siewiorek, An algorithm for the accurate reliability evaluation of triple modular redundancy networks. IEEE Trans. Comput. 23(7), 682–692 (1974)

    Article  MATH  Google Scholar 

  2. B.M. Al-Hashimi (ed.), System-on-Chip: Next Generation Electronics, chap. 17 (IEE Circuits, Devices and Systems, London, 2006)

  3. S. Aminzadeh, A. Ejlali, A comparative study of system-level energy management methods for Fault-Tolerant hard real-time systems. IEEE Trans. Comput. 60(9), 1288–1299 (2011)

    Article  MathSciNet  Google Scholar 

  4. L. Anghel, D. Alexandrescu, M. Nicolaidis, Evaluation of a soft error tolerance technique based on time and/or space redundancy, in Proceedings of the International Symposium on Integrated Circuit Design and System Design, Manaus (IEEE Computer Society, Los Alamitos, 2000), p. 237

    Google Scholar 

  5. ARM, Advanced microprocessor bus architecture (AMBA) specification, v2.0 (1999), http://www.arm.com

  6. ARM, Advanced eXtensible Interface (AXI) specification, AMBA v3.0 (2007), http://www.arm.com

  7. G. Ascia, V. Catania, M. Palesi, An evolutionary approach to network-on-chip mapping problem. in IEEE Congress on Evolutionary Computation, Edinburgh, vol. 1, 2–5 Sept 2005, pp. 112–119

    Google Scholar 

  8. J.R. Azambuja, F. Sousa, L. Rosa, F.L. Kastensmidt, Evaluating large grain TMR and selective partial reconfiguration for soft error mitigation in SRAM-based FPGAs, in Proceedings of the 15th IEEE International On-Line Testing Symposium (IOLTS), Sesimbra-Lisbon, 24–26 June 2009, pp 101–106

    Google Scholar 

  9. F. Balarin, P.D. Giusto, A. Jurecska, M. Chiodo, C. Passerone, A.S.-V. Harry Hsieh, E. Sentovich, B. Tabbara, L. Lavagno, K. Suzuk, Hardware-Software Co-design of Embedded Systems: The POLIS Approach (Springer, USA, 1997)

    Book  MATH  Google Scholar 

  10. H. Beitollahi, S.G. Miremadi, G. Deconinck, Fault-tolerant earliest-deadline-first scheduling algorithm, in Proceedings of the IEEE International Parallel and Distributed Processing Symposium IPDPS 2007, Long Beach, 26–30 Mar 2007, pp. 1–6

    Google Scholar 

  11. L. Benini, D. Bertozzi, A. Bogliolo, F. Menichelli, M. Olivieri, MPARM: exploring the multi-processor SoC design space with SystemC. J. VLSI Signal Process. 41(2), 169–182 (2005)

    Article  Google Scholar 

  12. J.B. Bernstein et al., Electronic circuit reliability modeling. Microelectron. Reliab. 46(12), 1957–1979 (2006)

    Article  Google Scholar 

  13. D. Brooks et al., Power, thermal, reliability modeling in nanometer-scale microprocessors. IEEE Micro 27, 49–62 (2007)

    Article  Google Scholar 

  14. V. Chandra, R. Aitken, Impact of technology and voltage scaling on the soft error susceptibility in nanoscale CMOS, in Proceedings of the IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems, Boston, 2008, pp. 114–122

    Google Scholar 

  15. V. Chandra, R. Aitken, Impact of voltage scaling on nanoscale SRAM reliability, in Proceedings of the DATE’09. Design, Automation and Test in Europe Conference and Exhibition, Nice, 20–24 Apr 2009, pp. 387–392

    Google Scholar 

  16. G. Chen, M. Kandemir, E. Li, Energy-aware computation duplication for improving reliability in embedded chip microprocessors, in Proceedings of the Asian and South Pacific Design Automation Conference (ASPDAC), Yokohama, Japan, 2006, pp. 134–139

    Google Scholar 

  17. CoCentric, Designware System-level Library (2008), http://www.synopsys.com/Tools/SLD/VirtualPlatforms/Pages/SLLibrary.aspx

  18. F. Dabiri, N. Amini, M. Rofouei, M. Sarrafzadeh, Reliability-aware optimization for DVS-enabled real-time embedded systems, in Proceedings of the 9th International Symposium on Quality Electronic Design (ISQED), San Jose, 17–19 Mar 2008, pp. 780–783

    Google Scholar 

  19. M. Dall’Osso, G. Biccari, L. Giovannini, D. Bertozzi, L. Benini, xPIPES: a latency insensitive parameterized network-on-chip architecture for multi-processor SoCs, in ICCD’03: Proceedings of the 21st International Conference on Computer Design, San Jose (IEEE Computer Society, Washington, DC, 2003), p. 536

    Google Scholar 

  20. W. Dally, Performance analysis of k-ary n-cube interconnection networks. IEEE Trans. Comput. 39(6), 775–785 (1990)

    Article  MathSciNet  Google Scholar 

  21. B.P. Dave, G. Lakshminarayana, N.K. Jha, COSYN: hardware-software co-synthesis of embedded systems, in DAC’97: Proceedings of the 34th Annual Design Automation Conference, Anaheim (ACM, New York, 1997), pp. 703–708

    Google Scholar 

  22. A. Ejlali, B.M. Al-Hashimi, M.T. Schmitz, P. Rosinger, S.G. Miremadi, Combined time and information redundancy for SEU-tolerance in energy-efficient real-time systems. IEEE Trans. Very Large Scale Integr. Syst. 14(4), 323–335 (2006)

    Article  Google Scholar 

  23. A. Ejlali, B.M. Al-Hashimi, P. Rosinger, S.G. Miremadi, Joint consideration of fault-tolerance, energy-efficiency and performance in on-chip network, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE), Nice, 2007, pp. 1647–1652

    Google Scholar 

  24. S. Ghosh, S. Basu, N.A. Touba, Joint minimization of power and area in scan testing by scan cell reordering, in Proceedings of the Annual Symposium on VLSI (ISVLSI), Tampa, 2003, p. 246

    Google Scholar 

  25. E. Gutiérrez, O. Plata, E.L. Zapata, Optimization techniques for parallel irregular reductions. J. Syst. Archit. 49(3), 63–74 (2003)

    Article  Google Scholar 

  26. R. Gupta, G. De Micheli, Hardware-software cosynthesis for digital systems. IEEE Des. Test Comput. 10(3), 29–41 (1993)

    Article  Google Scholar 

  27. J. Han, Q. Li, Dynamic power-aware scheduling algorithms for real-time task sets with fault tolerance in parallel and distributed computing environment, in International Parallel and Distributed Processing Symposium, Denver, 2005, pp. 6–16

    Google Scholar 

  28. C.-C. Han, K.G. Shin, J. Wu, A fault-tolerant scheduling algorithm for real-time periodic tasks with possible software faults. IEEE Trans. Comput. 52(3), 362–372 (2003)

    Article  Google Scholar 

  29. J. Hu, R. Marculescu, Energy- and performance-aware mapping for regular NoC architectures. IEEE Trans. Comput Aided Des. Integr. Circuits Syst. 24(4), 551–562 (2005)

    Article  Google Scholar 

  30. A. Israr, S.A. Huss, Specification and design considerations for reliable embedded systems, in Proceedings of the DATE’08, Munich, 2008, pp. 1111–1116

    Google Scholar 

  31. V. Izosimov, P. Pop, P. Eles, Z. Peng, Design optimization of time-and cost-constrained fault-tolerant distributed embedded systems, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE), Munich, 7–11 Mar 2005, pp. 864–869

    Google Scholar 

  32. T. Karnik, P. Hazucha, Characterization of soft errors caused by single event upsets in CMOS processes. IEEE Trans. Dependable Secur. Comput. 1(2), 128–143 (2004)

    Article  Google Scholar 

  33. R.H. Kim et al., 22 nm technology node active layer patterning for planar transistor devices, in Proceedings of the Optical Microlithography XXII, San Jose, vol. 7274, ed. by H.J. Levinson, M.V. Dusa (SPIE, Bellingham, 2009) pp. 72742X–72742X–6

    Google Scholar 

  34. T. Kogel, R. Leupers, H. Meyr (eds.), System level design principles, in Integrated System-Level Modeling of Network-on-Chip Enabled Multi-processor Platforms (Springer, Dordrecht, 2006), pp. 33–42

    Google Scholar 

  35. D. Kwai, B. Parhami, Fault-tolerant processor arrays using space and time redundancy, in Proceedings of the IEEE Second International Conference on Algorithms and Architectures for Parallel Processing, ICAPP, Singapore, 11–13 June 1996, pp. 303–310

    Google Scholar 

  36. D. Kwai, B. Parhami, A unified approach for fault tolerance and dynamic power management in fixed-priority real-time embedded systems. IEEE Trans. Comput Aided Des. Integr. Circuits Syst. 25(1), 111–125 (2006)

    Article  Google Scholar 

  37. M. Lajolo, A. Raghunathan, S. Dey, L. Lavagno, A. Sangiovanni-Vincentell, A case study on modeling shared memory access effects during performance analysis of HW/SW systems, in Proceedings of the International Workshop on Hardware-Software Codesign, San Diego, March 1998, pp. 117–121

    Google Scholar 

  38. H. Lee, U.Y. Ogras, R. Marculescu, N. Chang, Design space exploration and prototyping for on-chip multimedia applications, in Proceedings of the Design Automation Conference (DAC), San Francisco, 24–28 July 2006

    Google Scholar 

  39. H. Lee, N. Chang, U. Ogras, R. Marculescu, On-chip communication architecture exploration: a quantitative evaluation of point-to-point, bus, and network-on-chip approaches. ACM Trans. Des. Autom. Electron. Syst. 12(3), 1–20 (2007)

    Article  Google Scholar 

  40. C. Lee, H. Kim, H.-W. Park, S. Kim, H. Oh, S. Ha, A task remapping technique for reliable multi-core embedded systems, in Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Scottsdale, 24–29 Oct 2010, pp. 307–316

    Google Scholar 

  41. X. Li, D. Yeung, Exploiting application-level correctness for low-cost fault tolerance. J Instrum. Level Parallel. 10, 1–28 (2008)

    Google Scholar 

  42. G. Li, F. Hu, L. Yuan, An energy-efficient fault-tolerant scheduling scheme for aperiodic tasks in embedded real-time systems, in Proceedings of the Third International Conference on Multimedia and Ubiquitous Engineering MUE’09, Qingdao, 4–6 June 2009, pp. 369–376

    Google Scholar 

  43. A. Maheshwari, W. Burleson, R. Tessier, Trading off transient fault tolerance and power consumption in deep submicron (DSM) VLSI circuits. IEEE Trans. Very Large Scale Integr. Syst. 12(3), 299–311 (2004)

    Article  Google Scholar 

  44. G. Martin, Overview of the MPSoC design challenge, in Proceedings of the 43rd Annual Conference on Design Automation, San Francisco, 2006, pp. 274–279

    Google Scholar 

  45. R. Melhem, D. Mosse, E. Elnozahy, The interplay of power management and fault recovery in real-time systems. IEEE Trans. Comput. 53(2), 217–231 (2004)

    Article  Google Scholar 

  46. N. Miskov-Zivanov, D. Marculescu, Circuit reliability analysis using symbolic techniques. IEEE Trans. CAD 25(12), 2638–2649 (2006)

    Article  Google Scholar 

  47. M. Nicolaidis, Time redundancy based soft-error tolerance to rescue nanometer technologies, in Proceedings of the 17th IEEE VLSI Test Symposium, San Diego, 25–29 Apr 1999, pp. 86–94

    Google Scholar 

  48. V. Nollet, D. Verkestt, A quick Safari through the MPSoC run-time management Jungle, in IEEE/ACM/IFIP Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia, Salzburg, 2007, pp. 41–46

    Google Scholar 

  49. U. Ogras, J. Hu, R. Marculescu, Key research problems in NoC design: a holistic perspective, in Proceedings of the CODES+ISSS, Jersey City, 2005, pp. 69–74

    Google Scholar 

  50. H. Orsilla, T. Kangas, E. Salminen, T. Hämäläinen, D. Timo, M. Hännikäinen, Automated memory-aware application distribution for multi-processor system-on-chips. J. Syst. Archit. Euromicro J. 53(11), 795–815 (2007)

    Article  Google Scholar 

  51. L. Ost, Luciano, S. Varyani, L.S. Indrusiak, M. Mandelli, G.M. Almeida, E. Wachter, F. Moraesm, G. Sassatelli, Enabling adaptive techniques in Heterogeneous MPSoCs based on virtualization. ACM Trans. Reconfigurable Technol. Syst. 5(3), 1936–7406 (2012)

    Google Scholar 

  52. P. Pop, K. Poulsen, V. Izosimov, P. Eles, Scheduling and voltage scaling for energy/reliability trade-offs in fault-tolerant time-triggered embedded systems, in Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Salzburg, 2007, pp. 233–238

    Google Scholar 

  53. J. Pouwelse, K. Langendoen, H. Sips, Dynamic voltage scaling on a low-power microprocessor, in Proceedings of the 7th Annual International Conference on Mobile Computing and Networking, Rome, July 2001, pp. 251–259

    Google Scholar 

  54. I. Profeta, J.A., N.P. Andrianos, B. Yu, B. W. Johnson, T.A. DeLong, D. Guaspart, D. Jamsck, Safety-critical systems built with COTS. Computer 29(11), 54–60 (1996)

    Google Scholar 

  55. RTRG, Random task and resource graph tool (2010), http://www.zepler.net/~ras06r/rtrg. Accessed 23 Apr 2010

  56. M. Ruggiero, Dynamic power management techniques for system-on-chip. Ph.D. thesis, University of Bologna, 2008

    Google Scholar 

  57. M.T. Schmitz, B.M. Al-Hashimi, P. Eles, System-Level Design Techniques for Energy-Efficient Embedded Systems (Kluwer, Dordrecht, 2004)

    Google Scholar 

  58. R.A. Shafik, B.M. Al-Hashimi, Reliability analysis of on-chip communication architectures: an MPEG-2 video decoder case study. Microprocess. Microsyst. 35(2), 285–296 (2011)

    Article  Google Scholar 

  59. R. A. Shafik, P. Rosinger, B. M. Al-Hashimi, MPEG-based performance comparison between network-on-chip and AMBA MPSoC, in IEEE Design and Diagnostics of Electronic Circuits and Systems (DDECS), Bratislava, Slovakia, Apr 2008, pp. 98–103

    Google Scholar 

  60. R.A. Shafik, P. Rosinger, B.M. Al-Hashimi, SystemC-based minimum intrusive fault injection technique with improved fault representation, in Proceedings of the International On-Line Testing Symposium (IOLTS), Rhodes, July 2008, pp. 99–104

    Google Scholar 

  61. R.A. Shafik, B.M. Al-Hashimi, S. Kundu, A. Ejlali, Soft error-aware voltage scaling technique for power minimization in application-specific MPSoC. J. Low Power Electronics. 5(2), 145–156 (2009)

    Article  Google Scholar 

  62. R.A. Shafik, B.M. Al-Hashimi, J. Mathew, D.K. Pradhan, S.P. Mohanty, RAEF: a power normalized system-level reliability analysis and estimation framework, in IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Amherst, 2012, pp. 189–194

    Google Scholar 

  63. S.R. Shenoy, A. Daniel, Intel architecture and silicon cadence: the catalyst for industry innovation. Technical report, Intel Corp., 17 Apr 2009

    Google Scholar 

  64. N. Soundararajan, N. Vijaykrishnan, A. Sivasubramaniam, Impact of dynamic voltage and frequency scaling on the architectural vulnerability of GALS architectures, in ISLPED’08: Proceedings of the 13th International Symposium on Low Power Electronics and Design, Bangalore (ACM, New York, 2008), pp. 351–356

    Google Scholar 

  65. D.J. Soudris, P. Poechmueller, E.D. Kyriakis-Bitzaros, M.K. Birbas, C.E. Goutis, M. Glesner, Design methodology for systematic derivation of fault-tolerant array processors, in Proceedings of the CompEuro’92 Computer Systems and Software Engineering, The Hague, Netherlands, 4–8 May, 1992, pp. 562–567

    Google Scholar 

  66. J. Srinivasan et al., The case for lifetime reliability-aware microprocessors, in Proceedings of the 31st International Symposium on Computer Architecture, ISCA’04, Washington, DC, 2004, p. 276

    Google Scholar 

  67. Synposys, Primetime (2008), http://www.synopsys.com/Tools/Implementation/SignOff/Pages/PrimeTime.aspx

  68. R.R. Tamhankar, S. Murali, G.D. Micheli, Performance driven reliable link design for networks on chips. in Proceedings of the Conference on Asia South Pacific Design Automation, Shanghai, 2005, pp. 749–754

    Google Scholar 

  69. W.J. Van Gils, A triple modular redundancy technique providing multiple-bit error protection without using extra redundancy. IEEE Trans. Comput. C-35(7), 623–631 (1986)

    Article  Google Scholar 

  70. S. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Schanz, D. Finan, P. Iyer, A. Singh, T. Jacob, S. Jairr, S. Venkataramarr, Y. Hoskote, N. Borkar, An 80 tile 1.28 tflops network-on-chip in 65 nm CMOS, in Proceedings of the International Solid State Circuit Conference (ISSCC), San Francisco, CA, USA, 2007, pp. 98–100

    Google Scholar 

  71. S. Wang, J. Hu, S.G. Ziavras, On the characterization and optimization of on-chip cache reliability against soft errors. IEEE Trans. Comput. 58(9), 1171–1184 (2009)

    Article  MathSciNet  Google Scholar 

  72. W. Wolf, The future of multiprocessor systems-on-chips, in Design and Automation Conference (DAC), San Diego, 2004, pp. 681–685

    Google Scholar 

  73. B. Wong, F. Zach, V. Moroz, A. Mittal, G. Starr, A. Kahng, Nano-CMOS Design for Manufacturability (Wiley, Hoboken, 2009)

    Google Scholar 

  74. F. Zanini, D. Atienza, C.N. Jones, G. De-Micheli, Temperature sensor placement in thermal management systems for MPSoCs, in Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), Bangkok, Jun 2003, pp. 1065–1068

    Google Scholar 

  75. Y. Zhang, K. Chakrabarty, Energy-aware adaptive checkpointing in embedded real-time systems, in Proceedings of the International Conference on Design, Automation and Test in Europe (DATE), Munich, 2003, p. 10918

    Google Scholar 

  76. D. Zhu, R. Melhem, D. Mosse, The effects of energy management on reliability in real-time embedded systems, in IEEE/ACM International Conference on Computer Aided Design (ICCAD), San Jose, 2004, pp. 35–40

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Rishad A. Shafik .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer Science+Business Media New York

About this chapter

Cite this chapter

Shafik, R.A., Al-Hashimi, B.M., Chakrabarty, K. (2014). System-Level Design Methodology. In: Mathew, J., Shafik, R., Pradhan, D. (eds) Energy-Efficient Fault-Tolerant Systems. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-4193-9_5

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-4193-9_5

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-4192-2

  • Online ISBN: 978-1-4614-4193-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics