Skip to main content

A Methodology for Statistical Estimation of Read Access Yield in SRAMs

  • Chapter
  • First Online:
Book cover Nanometer Variation-Tolerant SRAM

Abstract

SRAM statistical simulation techniques are critical for performance and yield optimization. However, using these techniques to estimate failure probability for SRAM brings many challenges to memory designers. In this chapter, we look at the different statistical techniques used to estimate failure probability, including both conventional and state-of-the-art approaches. As an application of SRAM statistical simulation techniques, we present a methodology for statistical simulation of SRAM read access yield, which is tightly related to SRAM performance and power consumption.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    Here, we assume that there is only random variable that affects circuit operation, however, the concept can be easily extended to multiple dimensions.

  2. 2.

    Details about SRAM dynamic power are presented in Chap. 4.

  3. 3.

    This section focuses on read access yield. Therefore, we use the word yield to refer to read access yield.

  4. 4.

    For detailed analysis on transistor intrinsic noise, the reader is referred to [49].

  5. 5.

    A bold symbol is used to indicate a random variable.

  6. 6.

    Here, we assume that a bank contains one control block that generates WL and SAEN signals as shown in Fig.  5.19. Nevertheless, different types of banking styles can be easily included in the flow.

References

  1. The International Technology Roadmap for Semiconductors (ITRS), http://public.itrs.net

  2. H. Masuda, S. Ohkawa, A. Kurokawa, M. Aoki, Challenge: variability characterization and modeling for 65- to 90-nm processes, in Proceedings of IEEE Custom Integrated Circuits Conference, 2005, pp. 593–599

    Google Scholar 

  3. A. Asenov, A. Brown, J. Davies, S. Kaya, G. Slavcheva, Simulation of intrinsic parameter fluctuations in decananometer and nanometer-scale MOSFETs. IEEE Trans. Electron Devices 50(9), 1837–1852 (2003)

    Google Scholar 

  4. R. Heald, P. Wang, Variability in sub-100 nm SRAM designs, in Proceedings of International Conference on, Computer Aided Design, 2004, pp. 347–352

    Google Scholar 

  5. M. Eisele, J. Berthold, D. Schmitt-Landsiedel, R. Mahnkopf, The impact of intra-die device parameter variations on path delays and on the design for yield of low voltage digital circuits. IEEE Trans. Very Large Scale Integr. Syst. 5(4), 360–368 (1997)

    Article  Google Scholar 

  6. A. Srivastava, D. Sylvester, D. Blaauw, Statistical Analysis and Optimization for VLSI: Timing and Power (Series on Integrated Circuits and Systems) (Springer, New York, 2005)

    Google Scholar 

  7. K. Agarwal, S. Nassif, Statistical analysis of SRAM cell stability, in Proceedings of the 43rd Annual Conference on Design Automation DAC ’06, 2006, pp. 57–62

    Google Scholar 

  8. S. Mukhopadhyay, H. Mahmoodi, K. Roy, Statistical design and optimization of SRAM cell for yield enhancement, in Proceedings of International Conference on, Computer Aided Design, 2004, pp. 10–13

    Google Scholar 

  9. M. Orshansky, S. Nassif, D. Boning, Design for Manufacturability and Statistical Design: A Comprehensive Approach (Springer-Verlag, Secaucus, 2006)

    Google Scholar 

  10. F. Gong, Y. Shi, H. Yu, L. He, Parametric yield estimation for SRAM cells: concepts, algorithms and challanges, in Design Automation Conference, Knowledge Center Article, 2010

    Google Scholar 

  11. X. Li, J. Le, L.T. Pileggi, Statistical Performance Modeling and Optimization, vol. 1 (Now Publishers Inc., Hanover 2006)

    Google Scholar 

  12. A. Bhavnagarwala, X. Tang, J. Meindl, The impact of intrinsic device fluctuations on CMOS SRAM cell stability. IEEE J. Solid-State Circuits 36(4), 658–665 (2001)

    Google Scholar 

  13. S. Mukhopadhyay, H. Mahmoodi, K. Roy, Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(12), 1859–1880 (2005)

    Google Scholar 

  14. B. Calhoun, A. Chandrakasan, Analyzing static noise margin for sub-threshold SRAM in 65 nm CMOS, in Proceedings of the 31st European, Solid-State Circuits Conference, ESSCIRC 2005, pp. 363–366, Sept 2005

    Google Scholar 

  15. B. Calhoun, A. Chandrakasan, Static noise margin variation for sub-threshold SRAM in 65-nm CMOS. IEEE J. Solid-State Circuits 41(7), 1673–1679 (2006)

    Google Scholar 

  16. M.H. Abu-Rahma, K. Chowdhury, J. Wang, Z. Chen, S.S. Yoon, M. Anis, A methodology for statistical estimation of read access yield in SRAMs, in Proceedings of the 45th Conference on Design Automation DAC ’08, 2008, pp. 205–210

    Google Scholar 

  17. J. Wang, S. Yaldiz, X. Li, L. Pileggi, SRAM parametric failure analysis, in 46th ACM/IEEE, Design Automation Conference, DAC ’09, pp. 496–501, July 2009

    Google Scholar 

  18. A. Papoulis, Probability, Random Variables, and Stochastic Processes, 3rd edn. (McGraw-Hill, New York, 1991)

    Google Scholar 

  19. E. Grossar, M. Stucchi, K. Maex, W. Dehaene, Read stability and write-ability analysis of SRAM cells for nanometer technologies. IEEE J. Solid-State Circuits 41(11), 2577–2588 (Nov. 2006)

    Article  Google Scholar 

  20. Y. Tsukamoto, K. Nii, S. Imaoka, Y. Oda, S. Ohbayashi, T. Yoshizawa, H. Makino, K. Ishibashi, H. Shinohara, Worst-case analysis to obtain stable read/write DC margin of high density 6T-SRAM-array with local Vth variability, in IEEE/ACM International Conference on Computer-Aided Design, ICCAD-2005, pp. 398–405, Nov 2005

    Google Scholar 

  21. H. Mahmoodi, S. Mukhopadhyay, K. Roy, Estimation of delay variations due to random-dopant fluctuations in nanoscale CMOS circuits. IEEE J. Solid-State Circuits 40(9), 1787–1796 (2005)

    Article  Google Scholar 

  22. R. Kanj, R. Joshi, S. Nassif, Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events, in 43rd ACM/IEEE Design Automation Conference, 0–0 2006, pp. 69–72

    Google Scholar 

  23. T. Date, S. Hagiwara, K. Masu, T. Sato, Robust importance sampling for efficient SRAM yield analysis, in 11th International Symposium on Quality Electronic Design (ISQED), pp. 15–21, March 2010

    Google Scholar 

  24. C. Dong, X. Li, Efficient SRAM failure rate prediction via Gibbs sampling, in Proceedings of the 48th Design Automation Conference, ser. DAC ’11 (ACM, New York, 2011), pp. 200–205

    Google Scholar 

  25. L. Dolecek, M. Qazi, D. Shah, A. Chandrakasan, Breaking the simulation barrier: SRAM evaluation through norm minimization, in IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2008, pp. 322–329, Nov 2008

    Google Scholar 

  26. D. Khalil, M. Khellah, N.-S. Kim, Y. Ismail, T. Karnik, V. De, Accurate estimation of SRAM dynamic stability. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 16(12), 1639–1647 (2008)

    Google Scholar 

  27. A. Singhee, R. Rutenbar, Statistical blockade: very fast statistical simulation and modeling of rare circuit events and its application to memory design. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(8), 1176–1189 (2009)

    Google Scholar 

  28. R. Aitken, S. Idgunji, Worst-case design and margin for embedded SRAM, in Design, Automation Test in Europe Conference Exhibition, DATE ’07, pp. 1–6, April 2007

    Google Scholar 

  29. J. Wang, A. Singhee, R. Rutenbar, B. Calhoun, Statistical modeling for the minimum standby supply voltage of a full SRAM array, in Proceedings of the 33rd European Solid State Circuits Conference, ESSCIRC, pp. 400–403, Sept 2007

    Google Scholar 

  30. J. Wang, A. Singhee, R. Rutenbar, B. Calhoun, Two fast methods for estimating the minimum standby supply voltage for large SRAMs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(12), 1908–1920 (2010)

    Google Scholar 

  31. A. Singhee, J. Wang, B. Calhoun, R. Rutenbar, Recursive statistical blockade: an enhanced technique for rare event simulation with application to sram circuit design, in Proceedings of the 21st International Conference on VLSI Design, VLSID 2008, pp. 131–136, Jan 2008

    Google Scholar 

  32. B. Amrutur, M. Horowitz, Speed and power scaling of SRAM’s. IEEE J. Solid-State Circuits 35(2), 175–185 (Feb 2000)

    Article  Google Scholar 

  33. M. Yamaoka, T. Kawahara, Operating-margin-improved SRAM with column-at-a-time body-bias control technique, in Proceedings of the 33rd European Solid State Circuits Conference, ESSCIRC, pp. 396–399, 11–13 Sept 2007

    Google Scholar 

  34. H. Pilo, IEDM SRAM short course, 2006

    Google Scholar 

  35. M. Pelgrom, H. Tuinhout, M. Vertregt, Transistor matching in analog CMOS applications, in Proceedings of the International Electron Devices Meeting (IEDM), 1998, pp. 915–918

    Google Scholar 

  36. Y. Taur, T.H. Ning, Fundamentals of Modern VLSI Devices (Cambridge University Press, Cambridge, 1998)

    Google Scholar 

  37. Y. Wang, H.J. Ahn, U. Bhattacharya, Z. Chen, T. Coan, F. Hamzaoglu, W. Hafez, C.-H. Jan, P. Kolar, S. Kulkarni, J.-F. Lin, Y.-G. Ng, I. Post, L. Wei, Y. Zhang, K. Zhang, M. Bohr, A 1.1 GHz 12 A/Mb-Leakage SRAM design in 65 nm ultra-low-power CMOS technology with integrated leakage reduction for mobile applications. IEEE J. Solid-State Circuits 43(1), 172–179 (Jan. 2008)

    Article  Google Scholar 

  38. B. Wicht, T. Nirschl, D. Schmitt-Landsiedel, Yield and speed optimization of a latch-type voltage sense amplifier. IEEE J. Solid-State Circuits 39(7), 1148–1158 (July 2004)

    Article  Google Scholar 

  39. T. Matthews, P. Heedley, A simulation method for accurately determining dc and dynamic offsets in comparators, in Proceedings of the 48th Midwest Symposium on Circuits and Systems, vol. 2, pp. 1815–1818, Aug 2005

    Google Scholar 

  40. P. Kinget, Device mismatch and tradeoffs in the design of analog circuits. IEEE J. Solid-State Circuits 40(6), 1212–1224 (2005)

    Article  Google Scholar 

  41. S. Mukhopadhyay, K. Kim, K. Jenkins, C.-T. Chuang, K. Roy, Statistical characterization and on-chip measurement methods for local random variability of a process using sense-amplifier-based test structure, in |textitProceedings of the International Solid-State Circuits Conference ISSCC, pp. 400–611, Feb 2007

    Google Scholar 

  42. A Methodology for the Offset-Simulation of Comparators, http://www.designers-guide.org/Analysis/comparator.pdf

  43. T. Matthews, P. Heedley, A simulation method for accurately determining dc and dynamic offsets in comparators, in Proceedings of the 48th Midwest Symposium on Circuits and Systems, vol. 2, pp. 1815–1818, Aug 2005

    Google Scholar 

  44. B. Razavi, B.A. Wooley, Design techniques for high-speed, high-resolution comparators. IEEE J. Solid-State Circuits 27, 1916–1926 (1992)

    Article  Google Scholar 

  45. J. Ryan, B. Calhoun, Minimizing offset for latching voltage-mode sense amplifiers for sub-threshold operation, in Proceedings of the 9th International Symposium on Quality Electronic Design, ISQED 2008, pp. 127–132, March 2008

    Google Scholar 

  46. R. Singh, N. Bhat, An offset compensation technique for latch type sense amplifiers in high-speed low-power SRAMs. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 12(6), 652–657 (2004)

    Google Scholar 

  47. D.A. Schinkel, E. Mensink, E. Klumperink, E.T. van, A double-tail latch-type voltage sense amplifier with 18ps setup+hold time, in Proceedings of the International Solid-State Circuits Conference ISSCC, pp. 314–315, 2007

    Google Scholar 

  48. A. Nikoozadeh, B. Murmann, An analysis of latch comparator offset due to load capacitor mismatch. IEEE Trans. Circuits Syst. II: Express Briefs 53(12), 1398–1402 (2006)

    Google Scholar 

  49. B. Razavi, Design of Analog CMOS Integrated Circuits (McGraw-Hill, New York, 2000)

    Google Scholar 

  50. B. Leibowitz, J. Kim, J. Ren, C. Madden, Characterization of random decision errors in clocked comparators, in IEEE Custom Integrated Circuits Conference, CICC, pp. 691–694, Sept 2008

    Google Scholar 

  51. B. Amrutur, M. Horowitz, A replica technique for wordline and sense control in low-power SRAM’s. IEEE J. Solid-State Circuits 33(8), 1208–1219 (Aug 1998)

    Article  Google Scholar 

  52. K. Bowman, S. Duvall, J. Meindl, Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration. IEEE J. Solid-State Circuits 37(2), 183–190 (2002)

    Article  Google Scholar 

  53. E. Morifuji, D. Patil, M. Horowitz, Y. Nishi, Power optimization for SRAM and its scaling. IEEE Trans. Electron Devices 54(4), 715–722 (April 2007)

    Article  Google Scholar 

  54. C. Pacha, B. Martin, K. von Arnim, R. Brederlow, D. Schmitt-Landsiedel, P. Seegebrecht, J. Berthold, R. Thewes, Impact of STI-induced stress, inverse narrow width effect, and statistical \(v_{TH}\) variations on leakage currents in 120 nm CMOS, in Proceeding of the 34th European Solid-State Device Research conference ESSDERC, pp. 397–400, 2004

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mohamed H. Abu-Rahma .

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Abu-Rahma, M.H., Anis, M. (2013). A Methodology for Statistical Estimation of Read Access Yield in SRAMs. In: Nanometer Variation-Tolerant SRAM. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-1749-1_5

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-1749-1_5

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-1748-4

  • Online ISBN: 978-1-4614-1749-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics