Skip to main content

Variation-Tolerant SRAM Write and Read Assist Techniques

  • Chapter
  • First Online:
  • 1784 Accesses

Abstract

The objective of assist techniques is to improve the robustness and stability of SRAM at low voltage operation of the SRAM, while adding minimal area overhead. In the last few years, there has been extensive research in this area to help overcome the SRAM stability challenges. In this chapter, we start by defining the various metrics used to analyze write and read stability. These metrics are critical in the evaluation of SRAM stability and the effectiveness of circuit assists. Next, we present a detailed overview of the state-of-the art assist techniques and their impact on conventional SRAM design approaches. As a case study, we discuss the implementation details of a new technique. The technique selectively precharges different segments of the bitlines to \(V_\mathrm{DD}\) or \(GND\). Using charge sharing, the required value of bitline voltage can be precisely set to increase the bitcell read stability. A 512 kb memory was designed to demonstrate this technique in an industrial 45 nm technology. The technique significantly improves read stability, and provides high robustness against process variations.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Notes

  1. 1.

    \(WL\) boosting is one of the techniques that will be described in the next sections.

  2. 2.

    The DC failure rate is 500X higher than the rate compared to dynamic failure rate for the 64 cells per bitline case. Also, DC failure is 140 mV higher than dynamic failure, which shows the importance of dynamic read stability.

  3. 3.

    \(\Delta V_\mathrm{BL}\) in this chapter should not be confused with the bitline differential voltage \(\Delta V_\mathrm{bl}\). Here, \(\Delta V_\mathrm{BL}\) is the reduction in bitline precharge level before accessing the bitcell.

References

  1. K. Itoh, M. Horiguchi, M. Yamaoka, Low-voltage limitations of memory-rich nano-scale CMOS LSIs, in 33rd European Solid State Circuits Conference, 2007. ESSCIRC, pp. 68–75, 11–13 Sept. 2007

    Google Scholar 

  2. A. Bhavnagarwala, S. Kosonocky, Y. Chan, K. Stawiasz, U. Srinivasan, S. Kowalczyk, M. Ziegler, A sub-600 mv, fluctuation tolerant 65 nm CMOS SRAM array with dynamic cell biasing, in Proceedings of IEEE Symposium on VLSI Circuits, pp. 78–79, 2007

    Google Scholar 

  3. S. Mukhopadhyay, H. Mahmoodi, K. Roy, Statistical design and optimization of SRAM cell for yield enhancement, in Proceedings of International conference on Computer Aided Design, pp. 10–13, 2004

    Google Scholar 

  4. E. Grossar, M. Stucchi, K. Maex, W. Dehaene, Read stability and write-ability analysis of SRAM cells for nanometer technologies. IEEE J. Solid-State Circ. 41(11), 2577–2588 (2006)

    Article  Google Scholar 

  5. M. Yamaoka, N. Maeda, Y. Shinozaki, Y. Shimazaki, K. Nii, S. Shimada, K. Yanagisawa, T. Kawahara, Low-power embedded SRAM modules with expanded margins for writing, in Proceedings of the International Solid-State Circuits Conference ISSCC, vol. 1, pp. 480–611, 2005

    Google Scholar 

  6. K. Takeda, H. Ikeda, Y. Hagihara, M. Nomura, H. Kobatake, Redefinition of write margin for next-generation SRAM and write-margin monitoring circuit, in Proceedings of the International Solid-State Circuits Conference ISSCC, pp. 2602–2611, 2006

    Google Scholar 

  7. S. Mukhopadhyay, H. Mahmoodi, and K. Roy, Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS, Comput. Aided Des. Integr. Circ. Syst. IEEE Trans. 24(12) pp. 1859–1880, dec. 2005

    Google Scholar 

  8. W. Dong, P. Li, G. Huang, SRAM dynamic stability: Theory, variability and analysis, in IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2008, pp. 378–385, Nov. 2008

    Google Scholar 

  9. Y. Zhang, P. Li, G.M. Huang, Separatrices in high-dimensional state space: system-theoretical tangent computation and application to SRAM dynamic stability analysis, in Proceedings of the 47th Design Automation Conference, ser. DAC ’10. (ACM, USA, 2010), pp. 567–572

    Google Scholar 

  10. M. Khellah, D. Khalil, D. Somasekhar, Y. Ismail, T. Karnik, V. De, Effect of power supply noise on SRAM dynamic stability, in Proceedings of IEEE Symposium on VLSI Circuits, pp. 76–77, June 2007

    Google Scholar 

  11. A. Bhavnagarwala, S. Kosonocky, C. Radens, K. Stawiasz, R. Mann, Q. Ye, K. Chin, Fluctuation limits and scaling opportunities for CMOS SRAM cells, in Proceedings of the International Electron Devices Meeting (IEDM), pp. 659–662, 2005

    Google Scholar 

  12. J. Wang, S. Nalam, B. Calhoun, Analyzing static and dynamic write margin for nanometer srams, in ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), pp. 129–134, Aug 2008

    Google Scholar 

  13. K. Agarwal, S. Nassif, Statistical analysis of SRAM cell stability, in DAC ’06: Proceedings of the 43rd Annual Conference on Design Automation, pp. 57–62, 2006

    Google Scholar 

  14. G. Huang, W. Dong, Y. Ho, P. Li, Tracing SRAM separatrix for dynamic noise margin analysis under device mismatch, in Behavioral Modeling and Simulation Workshop, BMAS 2007. IEEE International, pp. 6–10, Sept. 2007

    Google Scholar 

  15. S. Nalam, V. Chandra, R. Aitken, B. Calhoun, Dynamic write limited minimum operating voltage for nanoscale SRAMs, in Design, Automation Test in Europe Conference Exhibition (DATE), pp. 1–6, March 2011

    Google Scholar 

  16. R. Joshi, R. Kanj, S. Nassif, D. Plass, Y. Chan, C.-T. Chuang, Statistical exploration of the dual supply voltage space of a 65nm PD/SOI CMOS SRAM cell, in Solid-State Device Research Conference, 2006. ESSDERC 2006. Proceeding of the 36th European, pp. 315–318, Sept. 2006

    Google Scholar 

  17. M. Yamaoka, K. Osada, T. Kawahara, A cell-activation-time controlled SRAM for low-voltage operation in DVFS SoCs using dynamic stability analysis, ESSCIRC: 34th European Solid State Circuits Conference, pp. 286–289, Sept. 2008

    Google Scholar 

  18. S.O. Toh, Z. Guo, B. Nikolić, Dynamic SRAM stability characterization in 45nm CMOS, in IEEE Symposium on VLSI Circuits (VLSIC), pp. 35–36, June 2010

    Google Scholar 

  19. S. Ikeda, Y. Yoshida, K. Ishibashi, Y. Mitsui, Failure analysis of 6T SRAM on low-voltage and high-frequency operation. IEEE Trans. Electron Devices 50, 1270–1276 (2003)

    Article  Google Scholar 

  20. R. Heald, P. Wang, Variability in sub-100 nm SRAM designs, in Proceedings of International conference on Computer Aided Design, pp. 347–352, 2004

    Google Scholar 

  21. E. Seevinck, F. List, J. Lohstroh, Static-noise margin analysis of MOS SRAM cells. IEEE J. Solid-State Circ. 22(5), 748–754 (1987)

    Article  Google Scholar 

  22. K. Nii, M. Yabuuchi, Y. Tsukamoto, S. Ohbayashi, S. Imaoka, H. Makino, Y. Yamagami, S. Ishikura, T. Terano, T. Oashi, K. Hashimoto, A. Sebe, S. Okazaki, K. Satomi, H. Akamatsu, H. Shinohara, A 45-nm bulk CMOS embedded SRAM with improved immunity against process and temperature variations. IEEE J. Solid-State Circ. 43(1), 180–191 (2008)

    Article  Google Scholar 

  23. C. Wann, R. Wong, D. Frank, R. Mann, S.-B. Ko, P. Croce, D. Lea, D. Hoyniak, Y.-M. Lee, J. Toomey, M. Weybright, J. Sudijono, SRAM cell design for stability methodology, in IEEE VLSI-TSA International Symposium on VLSI Technology (VLSI-TSA-Tech), pp. 21–22, April 2005

    Google Scholar 

  24. A. Kawasumi, T. Yabe, Y. Takeyama, O. Hirabayashi, K. Kushida, A. Tohata, T. Sasaki, A. Katayama, G. Fukano, Y. Fujimura, N. Otsuka, A single-power-supply 0.7 V 1 GHz 45 nm SRAM with an asymmetrical unit-\(\beta \)-ratio memory cell, in Solid-State Circuits Conference, ISSCC 2008. Digest of Technical Papers. IEEE. International, pp. 382–622, Feb. 2008

    Google Scholar 

  25. M. Sharifkhani, M. Sachdev, SRAM cell stability: A dynamic perspective. IEEE J. Solid-State Circ. 44(2), 609–619 (2009)

    Google Scholar 

  26. M. Sinangil, H. Mair, A. Chandrakasan, A 28 nm high-density 6T SRAM with optimized peripheral-assist circuits for operation down to 0.6 V, in Solid-State Circuits Conference Digest of Technical Papers (ISSCC), IEEE. International, pp. 260–262, Feb. 2011

    Google Scholar 

  27. B. Zhang, A. Arapostathis, S. Nassif, M. Orshansky, Analytical modeling of SRAM dynamic stability, in IEEE/ACM International Conference on Computer-Aided Design, ICCAD ’06, pp. 315–322, Nov. 2006

    Google Scholar 

  28. M. Wieckowski, D. Sylvester, D. Blaauw, V. Chandra, S. Idgunji, C. Pietrzyk, R. Aitken, A black box method for stability analysis of arbitrary SRAM cell structures, in Design, Automation Test in Europe Conference Exhibition (DATE), pp. 795–800, March 2010

    Google Scholar 

  29. M. Khellah, Y. Ye, N. Kim, D. Somasekhar, G. Pandya, A. Farhang, K. Zhang, C. Webb, V. De, Wordline and bitline pulsing schemes for improving SRAM cell stability in low Vcc 65 nm CMOS designs, in Proceedings of IEEE Symposium on VLSI Circuits, pp. 9–10, 2006

    Google Scholar 

  30. M. Khellah, D. Somasekhar, Y. Ye, N.S. Kim, J. Howard, G. Ruhl, M. Sunna, J. Tschanz, N. Borkar, F. Hamzaoglu, G. Pandya, A. Farhang, K. Zhang, V. De, A 256-kb dual-\({V}_{\rm CC}\) SRAM building block in 65-nm CMOS process with actively clamped sleep transistor. IEEE J. Solid-State Circ. 42(1), 233–242 (2007)

    Article  Google Scholar 

  31. L. Chang, D. Fried, J. Hergenrother, J. Sleight, R. Dennard, R. Montoye, L. Sekaric, S. McNab, A. Topol, C. Adams, K. Guarini, W. Haensch, Stable SRAM cell design for the 32 nm node and beyond, in Symposium on VLSI Technology, 2005. Digest of Technical Papers, pp. 128–129, June 2005

    Google Scholar 

  32. K. Takeda, Y. Hagihara, Y. Aimoto, M. Nomura, Y. Nakazawa, T. Ishii, H. Kobatake, A read-static-noise-margin-free SRAM cell for low-vdd and high-speed applications. IEEE J. Solid-State Circ. 41(1), 113–121 (2006)

    Google Scholar 

  33. I.J. Chang, J.-J. Kim, S. Park, K. Roy, A 32 kb 10 T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS. IEEE J. Solid-State Circ. 44(2), 650–658 (2009)

    Google Scholar 

  34. S. Jain, S. Khare, S. Yada, V. Ambili, P. Salihundam, S. Ramani, S. Muthukumar, M. Srinivasan, A. Kumar, S.K. Gb, R. Ramanarayanan, V. Erraguntla, J. Howard, S. Vangal, S. Dighe, G. Ruhl, P. Aseron, H. Wilson, N. Borkar, V. De, S. Borkar, A 280 mV-to-1.2 V wide-operating-range IA-32 processor in 32 nm CMOS, in IEEE. International on Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp. 66–68, Feb. 2012

    Google Scholar 

  35. K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. Vallepalli, Y. Wang, B. Zheng, M. Bohr, A 3-GHz 70-Mb SRAM in 65-nm cmos technology with integrated column-based dynamic power supply. IEEE J. Solid-State Circ. 41(1), 146–151 (2006)

    Article  Google Scholar 

  36. R.W. Mann, J. Wang, S. Nalam, S. Khanna, G. Braceras, H. Pilo, B.H. Calhoun, Impact of circuit assist methods on margin and performance in 6T SRAM. Solid-State Electron. 54(11), 1398–1407 (2010)

    Article  Google Scholar 

  37. H. Yamauchi, A discussion on SRAM circuit design trend in deeper nanometer-scale technologies. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 18(5), 763–774 (2010)

    Google Scholar 

  38. M. Sinangil, N. Verma, A. Chandrakasan, A reconfigurable 65 nm SRAM achieving voltage scalability from 0.25 to 1.2 V and performance scalability from 20kHz to 200 MHz, in Solid-State Circuits Conference, 2008. ESSCIRC 2008. 34th European, pp. 282–285, Sept. 2008

    Google Scholar 

  39. B. Campbell, J. Burnette, N. Javarappa, V. von Kaenel, Power-efficient dual-supply 64 kB L1 caches in a 65 nm CMOS technology, in Proceedings of IEEE Custom Integrated Circuits Conference, pp. 729–732, 2007

    Google Scholar 

  40. T. Suzuki, H. Yamauchi, K. Satomi, H. Akamatsu, A stable SRAM mitigating cell-margin asymmetricity with a disturb-free biasing scheme, in Proceedings of IEEE Custom Integrated Circuits conference, pp. 233–236, 2007

    Google Scholar 

  41. R. Joshi, R. Houle, D. Rodko, P. Patel, W. Huott, R. Franch, Y. Chan, D. Plass, S. Wilson, S. Wu, and R. Kanj, A high performance 2.4 Mb L1 and L2 cache compatible 45 nm SRAM with yield improvement capabilities, in Proceedings of IEEE Symposium on VLSI Circuits, pp. 208–209, June 2008

    Google Scholar 

  42. J. Pille, C. Adams, T. Christensen, S. Cottier, S. Ehrenreich, T. Kono, D. Nelson, O. Takahashi, S. Tokito, O. Torreiter, O. Wagner, D. Wendel, Implementation of the cell broadband engine in a 65 nm SOI technology featuring dual-supply SRAM arrays supporting 6 GHz at 1.3 V, in Proceedings of the International Solid-State Circuits Conference ISSCC, pp. 322–606, 11–15 Feb. 2007

    Google Scholar 

  43. K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. Vallepalli, Y. Wang, B. Zheng, M. Bohr, A 3-GHz 70 MB SRAM in 65 nm CMOS technology with integrated column-based dynamic power supply, in Proceedings of the International Solid-State Circuits Conference ISSCC, vol. 1, pp. 474–611, 10–10 Feb. 2005

    Google Scholar 

  44. M. Yamaoka, T. Kawahara, Operating-margin-improved SRAM with column-at-a-time body-bias control technique, in 33rd European Solid State Circuits Conference, 2007. ESSCIRC, pp. 396–399, 11–13 Sept. 2007

    Google Scholar 

  45. O. Hirabayashi, A. Kawasumi, A. Suzuki, Y. Takeyama, K. Kushida, T. Sasaki, A. Katayama, G. Fukano, Y. Fujimura, T. Nakazato, Y. Shizuki, N. Kushiyama, T. Yabe, A process-variation-tolerant dual-power-supply SRAM with 0.179 um2 cell in 40 nm CMOS using level-programmable wordline driver, in IEEE International on Solid-State Circuits Conference - Digest of Technical Papers, 2009. ISSCC 2009, pp. 458–459,459a, Feb. 2009

    Google Scholar 

  46. F. shi Lai, C.-F. Lee, On-chip voltage down converter to improve SRAM read/write margin and static power for sub-nano CMOS technology. IEEE J. Solid-State Circ. 42(9), 2061–2070 (2007)

    Google Scholar 

  47. Y. Hirano, M. Tsujiuchi, K. Ishikawa, H. Shinohara, T. Terada, Y. Maki, T. Iwamatsu, K. Eikyu, T. Uchida, S. Obayashi, K. Nii, Y. Tsukamoto, M. Yabuuchi, T. Ipposhi, H. Oda, Y. Inoue, A robust SOI SRAM architecture by using advanced ABC technology for 32 nm node and beyond LSTP devices, in Proceedings of IEEE Symposium on VLSI Technology, pp. 78–79, June 2007

    Google Scholar 

  48. Y. Morita, H. Fujiwara, H. Noguchi, K. Kawakami, J. Miyakoshi, S. Mikami, K. Nii, H. Kawaguchi, and M. Yoshimoto, A Vth-Variation-Tolerant SRAM with 0.3-V minimum operation voltage for memory-rich SoC under DVS environment, in Proceedings of IEEE Symposium on VLSI Circuits, pp. 13–14, 2006

    Google Scholar 

  49. H. Pilo, C. Barwin, G. Braceras, C. Browning, S. Lamphier, F. Towler, An SRAM design in 65-nm technology node featuring read and write-assist circuits to expand operating voltage. IEEE J. Solid-State Circ. 42(4), 813–819 (2007)

    Article  Google Scholar 

  50. Y.H. Chen, G. Chan, S.Y. Chou, H.-Y. Pan, J.-J. Wu, R. Lee, H. Liao, H. Yamauchi, A 0.6 V dual-rail compiler SRAM design on 45 nm CMOS technology with adaptive SRAM power for lower VDD\(_{\rm min}\) VLSIs. IEEE J. Solid-State Circ. 44(4), 1209–1215 (2009)

    Google Scholar 

  51. S. Ohbayashi, M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Imaoka, Y. Oda, T. Yoshihara, M. Igarashi, M. Takeuchi, H. Kawashima, Y. Yamaguchi, K. Tsukamoto, M. Inuishi, H. Makino, K. Ishibashi, H. Shinohara, A 65-nm SoC embedded 6T-SRAM designed for manufacturability with read and write operation stabilizing circuits. IEEE J. Solid-State Circ. 42(4), 820–829 (2007)

    Article  Google Scholar 

  52. A. Raychowdhury, B. Geuskens, J. Kulkarni, J. Tschanz, K. Bowman, T. Karnik, S.-L. Lu, V. De, M. Khellah, PVT-and-aging adaptive wordline boosting for 8T SRAM power reduction, in IEEE International on Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp. 352–353, Feb. 2010

    Google Scholar 

  53. M. Yamaoka, N. Maeda, Y. Shinozaki, Y. Shimazaki, K. Nii, S. Shimada, K. Yanagisawa, T. Kawahara, 90-nm process-variation adaptive embedded SRAM modules with power-line-floating write technique. IEEE J. Solid-State Circ. 41(3), 705–711 (2006)

    Article  Google Scholar 

  54. J. Kulkarni, B. Geuskens, T. Karnik, M. Khellah, J. Tschanz, V. De, Capacitive-coupling wordline boosting with self-induced VCC collapse for write VMIN reduction in 22-nm 8T SRAM, in IEEE International on Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp. 234–236, Feb. 2012

    Google Scholar 

  55. E. Karl, Y. Wang, Y.-G. Ng, Z. Guo, F. Hamzaoglu, U. Bhattacharya, K. Zhang, K. Mistry, M. Bohr, A 4.6 GHz 162 Mb SRAM design in 22 nm tri-gate CMOS technology with integrated active VMIN-enhancing assist circuitry, in IEEE International on Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp. 230–232, Feb. 2012

    Google Scholar 

  56. S. Damaraju, V. George, S. Jahagirdar, T. Khondker, R. Milstrey, S. Sarkar, S. Siers, I. Stolero, A. Subbiah, A 22 nm IA multi-CPU and GPU system-on-chip, in IEEE International on Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp. 56–57, Feb. 2012

    Google Scholar 

  57. M. Khellah, N.S. Kim, Y. Ye, D. Somasekhar, T. Karnik, N. Borkar, F. Hamzaoglu, T. Coan, Y. Wang, K. Zhang, C. Webb, V. De, PVT-variations and supply-noise tolerant 45 nm dense cache arrays with diffusion-notch-free (DNF) 6T SRAM cells and dynamic multi-vcc circuits, in 2008 IEEE Symposium on VLSI Circuits, pp. 48–49, June 2008

    Google Scholar 

  58. B. Mohammad, M. Saint-Laurent, P. Bassett, J. Abraham, Cache design for low power and high yield, in 9th International Symposium on Quality Electronic Design, 2008. ISQED 2008, pp. 103–107, March 2008

    Google Scholar 

  59. Y. Wang, E. Karl, M. Meterelliyoz, F. Hamzaoglu, Y.-G. Ng, S. Ghosh, L. Wei, U. Bhattacharya, K. Zhang, Dynamic behavior of SRAM data retention and a novel transient voltage collapse technique for 0.6 V 32 nm LP SRAM, in IEEE International on Electron Devices Meeting (IEDM), pp. 32.1.1–32.1.4, Dec. 2011

    Google Scholar 

  60. K. Nii, M. Yabuuchi, Y. Tsukamoto, S. Ohbayashi, Y. Oda, K. Usui, T. Kawamura, N. Tsuboi, T. Iwasaki, K. Hashimoto, H. Makino, H. Shinohara, A 45-nm single-port and dual-port SRAM family with robust read/write stabilizing circuitry under DVFS environment, in IEEE Symposium on VLSI Circuits, pp. 212–213, June 2008

    Google Scholar 

  61. Y. Fujimura, O. Hirabayashi, T. Sasaki, A. Suzuki, A. Kawasumi, Y. Takeyama, K. Kushida, G. Fukano, A. Katayama, Y. Niki, T. Yabe, A configurable SRAM with constant-negative-level write buffer for low-voltage operation with 0.149um2 cell in 32 nm high-k metal-gate CMOS, in IEEE International on Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp. 348–349, Feb. 2010

    Google Scholar 

  62. N. Shibata, H. Kiya, S. Kurita, H. Okamoto, M. Tan’no, T. Douseki, A 0.5-V 25-MHz 1-mW 256-kb MTCMOS/SOI SRAM for solar-power-operated portable personal digital equipment - sure write operation by using step-down negatively overdriven bitline scheme. IEEE J. Solid-State Circ. 41(3), pp. 728–742, March 2006

    Google Scholar 

  63. S. Mukhopadhyay, R. Rao, J.-J. Kim, C.-T. Chuang, SRAM write-ability improvement with transient negative bit-line voltage. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 19(1), 24–32 (2011)

    Google Scholar 

  64. H. Pilo, I. Arsovski, K. Batson, G. Braceras, J. Gabric, R. Houle, S. Lamphier, F. Pavlik, A. Seferagic, L.-Y. Chen, S.-B. Ko, C. Radens, A 64 Mb SRAM in 32 nm High-k metal-gate SOI technology with 0.7 V operation enabled by stability, write-ability and read-ability enhancements, in IEEE International on Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp. 254–256, Feb. 2011

    Google Scholar 

  65. H. Nho, P. Kolar, F. Hamzaoglu, Y. Wang, E. Karl, Y.-G. Ng, U. Bhattacharya, K. Zhang, A 32 nm High-k metal gate SRAM with adaptive dynamic stability enhancement for low-voltage operation, in IEEE International on Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp. 346–347, Feb. 2010

    Google Scholar 

  66. M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Ohbayashi, S. Imaoka, H. Makino, Y. Yamagami, S. lshikura, T. Terano, T. Oashi, K. Hashimoto, A. Sebe, G. Okazaki, K. Satomi, H. Akamatsu, H. Shinohara, A 45 nm low-standby-power embedded SRAM with improved immunity against process and temperature variations, in Proceedings of the International Solid-State Circuits Conference ISSCC, pp. 326–606, 11–15 Feb. 2007

    Google Scholar 

  67. M. H. Abu-Rahma, M. Anis, S.S. Yoon, A robust single supply voltage SRAM read assist technique using selective precharge, in Proceedings of the 34th European Solid State Circuits Conference ESSCIRC, pp. 234–237, 2008

    Google Scholar 

  68. B. Wicht, T. Nirschl, D. Schmitt-Landsiedel, Yield and speed optimization of a latch-type voltage sense amplifier. IEEE J. Solid-State Circ 39(7), 1148–1158 (2004)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mohamed H. Abu-Rahma .

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Abu-Rahma, M.H., Anis, M. (2013). Variation-Tolerant SRAM Write and Read Assist Techniques. In: Nanometer Variation-Tolerant SRAM. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-1749-1_3

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-1749-1_3

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-1748-4

  • Online ISBN: 978-1-4614-1749-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics