Skip to main content

Part of the book series: Integrated Circuits and Systems ((ICIR))

  • 1105 Accesses

Abstract

The scaling of CMOS technology has been the driving force of the semiconductor industry during past five decades, with the minimum feature size expected to reach 10 nm in 10 years [1]. Beyond that benchmark, the present scaling approach may have to take a different route, in order to overcome dramatic barriers in transistor performance degradation, power consumption, process and environmental variations, and reliability issues.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. International Technology Roadmap of Semiconductors, 2007. (available at http://www.itrs.net).

  2. M. Khare, et al., “A high performance 90 nm SOI technology with 0.992μm2 6T-SRAM cell,” IEDM Tech. Dig., pp. 407–410, 2002.

    Google Scholar 

  3. R. A. Chapman, et al., “High performance sub-half micron CMOS using rapid thermal processing,” IEDM Tech. Dig., pp. 101–104, 1991.

    Google Scholar 

  4. Y. Taur, et al., “High performance 0.1 μm CMOS devices with 1.5 V power supply,” IEDM Tech. Dig., pp. 127–130, 1993.

    Google Scholar 

  5. M. Rodder, Q. Z. Hong, M. Nandakumar, S. Aur, J. C. Hu, and I. C. Chen, “A sub-0.18 μm gate length CMOS technology for high performance (1.5 V) and low power (1.0 V),” IEDM Tech. Dig., pp. 563–566, 1996.

    Google Scholar 

  6. L. Su, et al., “A high-performance sub-0.25 μm CMOS technology with multiple thresholds and copper interconnects,” VLSI Symp. Tech. Dig., pp. 18–19, 1998.

    Google Scholar 

  7. M. Hargrove, et al., “High-performance sub-0.08 μm CMOS with dual gate oxide and 9.7 ps inverter delay,” IEDM Tech. Dig., pp. 627–630, 1998.

    Google Scholar 

  8. S. Yang, et al., “A high performance 180 nm generation logic technology,” IEDM Tech. Dig., pp. 197–200, 1998.

    Google Scholar 

  9. P. Gilbert, et al., “A high performance l.5 V, 0.10 μm gate length CMOS technology with scaled copper metalization,” IEDM Tech. Dig., pp. 1013–1016, 1998.

    Google Scholar 

  10. T. Ghani, et al., “100 nm gate length high performance/low power CMOS transistor structure,” IEDM Tech. Dig., pp. 415–418, 1999.

    Google Scholar 

  11. K. K. Young, et al., “A 0.13 μm CMOS technology with 193 nm lithography and Cu/low-k for high performance applications,” IEDM Tech. Dig., pp. 563–566, 2000.

    Google Scholar 

  12. S. Tyagi, et al., “A 130 nm generation logic technology featuring 70 nm transistors, dual Vt transistors and 6 layers of Cu interconnects,” IEDM Tech. Dig., pp. 567–570, 2000.

    Google Scholar 

  13. K. Ichinose, et al., “A high performance 0.12 μm CMOS with manufacturable 0.18 μm technology,” VLSI Symp. Tech. Dig., pp. 103–104, 2001.

    Google Scholar 

  14. S. Thompson, et al., “An enhanced 130 nm generation logic technology featuring 60 nm transistors optimized for high performance and low power at 0.7–1.4 V,” IEDM Tech. Dig., pp. 257–260, 2001.

    Google Scholar 

  15. M. Celik, et al., “A 45 nm gate length high performance SOI transistor for 100 nm CMOS technology applications,” VLSI Symp. Tech. Dig., pp. 166–167, 2002.

    Google Scholar 

  16. V. Chan, et al., “High speed 45 nm gate length CMOSFETs integrated into a 90 nm bulk technology incorporating strain engineering,” IEDM Tech. Dig., pp. 77–80, 2003.

    Google Scholar 

  17. K. Mistry, et al., “Delaying forever: Uniaxial strained silicon transistors in a 90 nm CMOS technology,” VLSI Symp. Tech. Dig., pp. 50–51, 2004.

    Google Scholar 

  18. S. Mayuzumi, et al., “Extreme high-performance n- and p-MOSFETs boosted by dual-metal/high-k gate damascene process using top-cut dual stress liners on (100) substrates,” IEDM Tech. Dig., pp. 293–296, 2007.

    Google Scholar 

  19. A. Pouydebasque, et al., “High density and high speed SRAM bit-cells and ring oscillators due to laser annealing for 45 nm bulk CMOS,” IEDM Tech. Dig., pp. 663–666, 2005.

    Google Scholar 

  20. W.-H. Lee, et al., “High performance 65 nm SOI technology with enhanced transistor strain and advanced-low-k BEOL,” IEDM Tech. Dig., pp. 56–59, 2005.

    Google Scholar 

  21. S. Tyagi, et al., “An advanced low power, high performance, strained channel 65 nm technology,” IEDM Tech. Dig., pp. 1070–1072, 2005.

    Google Scholar 

  22. M. Rodder, et al., “Oxide thickness dependence of inverter delay and device reliability for 0.25 μm CMOS technology,” IEDM Tech. Dig., pp. 879–882, 1993.

    Google Scholar 

  23. M. Rodder, A. Amerasekera, S. Aur, and I. C. Chen, “A study of design/process dependence of 0.25 μm gate length CMOS for improved performance and reliability,” IEDM Tech. Dig., pp. 71–74, 1994.

    Google Scholar 

  24. M. Rodder, S. Aur, and I.-C. Chen, “A scaled 1.8 V, 0.18 μm gate length CMOS technology: Device design and reliability considerations,” IEDM Tech. Dig., pp. 415–418, 1995.

    Google Scholar 

  25. M. Rodder, et al., “A 1.2 V, 0.1 μm gate length CMOS technology: Design and process issues,” IEDM Tech. Dig., pp. 623–626, 1998.

    Google Scholar 

  26. M. Mehrotra, et al., “A 1.2 V, sub-0.09 μm gate length CMOS technology,” IEDM Tech. Dig., pp. 419–422, 1999.

    Google Scholar 

  27. A. H. Perera, et al., “A versatile 0.13 μm CMOS platform technology supporting high performance and low power applications,” IEDM Tech. Dig., pp. 571–574, 2000.

    Google Scholar 

  28. N. Yanagiya, et al., “65 nm CMOS technology (CMOS5) with high density embedded memories for broadband microprocessor applications,” IEDM Tech. Dig., pp. 57–60, 2002.

    Google Scholar 

  29. S. Thompson, et al., “A 90 nm logic technology featuring 50 nm strained silicon channel transistors, 7 layers of Cu interconnects, low-k ILD, and 1μm2 SRAM cell,” IEDM Tech. Dig., pp. 61–64, 2002.

    Google Scholar 

  30. P. Bai, et al., “A 65 nm logic technology featuring 35 nm gate lengths, enhanced channel strain, 8 Cu interconnect layers, low-k ILD and 0.57 pmZ SRAM Cell,” IEDM Tech. Dig., pp. 657–660, 2004.

    Google Scholar 

  31. B. H. Calhoun, Y. Cao, X. Li, K. Mai, L. T. Pileggi, R. A. Rutenbar, and K. L. Shepard, “Digital circuit design challenges and opportunities in the era of nanoscale CMOS,” Proceedings of the IEEE, vol. 96, no. 2, pp. 343–365, February 2008.

    Article  Google Scholar 

  32. S. Jha, “Challenges on design complexities for advanced wireless silicon systems,” Design Automation Conference, 2008.

    Google Scholar 

  33. W. Zhao, Y. Cao, “New generation of predictive technology model for sub-45 nm early design exploration,” IEEE Transactions on Electron Devices, vol. 53, no. 11, pp. 2816–2823, November 2006.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yu Cao .

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Cao, Y. (2011). Introduction. In: Predictive Technology Model for Robust Nanoelectronic Design. Integrated Circuits and Systems. Springer, Boston, MA. https://doi.org/10.1007/978-1-4614-0445-3_1

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-0445-3_1

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4614-0444-6

  • Online ISBN: 978-1-4614-0445-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics