Skip to main content

Asymmetry in STT-RAM Cell Operations

  • Chapter
  • First Online:
Emerging Memory Technologies

Abstract

Spin-transfer torque random access memory (STT-RAM) has emerged as a promising technology to replace SRAM and DRAM in embedded memory applications. In STT-RAM, the data are stored in a magnetic device (magnetic tunneling junction or MTJ) as different resistance states. The unique data storage mechanism of STT-RAM introduces the different design optimization concerns from conventional memories. As one important characteristic, programming “1” and “0” into an STT-RAM cell is very asymmetric in terms of performance, power, and reliability. In this chapter, we will review this asymmetry and analyze its sources. The impacts of this asymmetry on the STT-RAM cell optimization will be also discussed, followed by the introduction on a model to simulate the STT-RAM cell asymmetry.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Berger, L. (Oct 1996). Emission of Spin waves by a magnetic multilayer traversed by a current. Physical Review B, 54, 9353–9358.

    Article  Google Scholar 

  2. BSIM.http://www-device.eecs.berkeley.edu/bsim3/. UC Berkeley.

  3. Diao, Z., Li, Z., Wang, S., Ding, Y., Panchula, A., Chen, E., et al. (2007). Spin-transfer torque switching in magnetic tunnel junctions and Spin-transfer torque random access memory. Journal of Physics: Condensed Matter, 19, 165209.

    Article  Google Scholar 

  4. Doubilet, P., Begg, C. B., Weinstein, M. C., Braun, P., McNeil, B. J. (1985). A Practical approach: Probabilistic sensitivity analysis using Monte Carlo Simulation.

    Google Scholar 

  5. Gilbert, T. L. (1955). A lagrangian formulation of the gyromagnetic equation of the magnetization field. Physics Review, 100(1243).

    Google Scholar 

  6. Harris, F. J. (Jan. 1978). On the use of windows for Harmonic analysis with the discrete fourier transform. Proceedings of the IEEE, 66(1), 51–83.

    Article  Google Scholar 

  7. Li, J., Augustine, C., Salahuddin, S., Roy, K. (2008). Modeling of failure probability and statistical design of spin-torque transfer magnetic random access memory (STT MRAM) array for yield enhancement. In 45th Design Automation Conference, pp. 278–283, june 2008.

    Google Scholar 

  8. Li, J., Liu, H., Salahuddin, S., Roy, K. (2008). Variation-tolerant Spin-Torque transfer (STT) MRAM array for yield enhancement. In CICC, pp. 193–196, Sep. 2008.

    Google Scholar 

  9. Nigam, A., Smullen, C. W., Mohan, V., Chen, E., Gurumurthi, S., Stan, M. R. (2011). Delivering on the promise of universal memory for Spin-Transfer Torque RAM (STT-RAM), International Symposium on Low Power Electronics and Design (ISLPED), pp. 121–126, aug 2011.

    Google Scholar 

  10. Predictive Technology Model (PTM). http://www.eas.asu.edu/ptm/. ASU.

  11. Raychowdhury, A., Somasekhar, D., Karnik, T., De V. (2009). Design space and scalability exploration of 1T–1STT MTJ memory arrays in the presence of variability and disturbances. In IEEE International Electron Devices Meeting (IEDM), pp. 1–4, dec. 2009.

    Google Scholar 

  12. Raychowdhury, A., Somasekhar, D., Karnik, T., De, V. (2009). Design space and scalability exploration of 1t–1stt MTJ memory arrays in the presence of variability and disturbances. In IEDM, pp. 1–4, Dec. 2009.

    Google Scholar 

  13. Sheu, B. J., Scharfetter, D. L., Ko, P.-K., & Jeng, M.-C. (Aug 1987). BSIM: Berkeley short-channel IGFET model for MOS transistors. JSSC, 22(4), 558–566.

    Google Scholar 

  14. Singha, R., Balijepalli, A., Subramaniam, A., Liu, F., Nassif, S. (2007). Modeling and analysis of non-rectangular gate for post-lithography circuit simulation. In 44th DAC, pp. 823–828, June 2007.

    Google Scholar 

  15. Smullen, C. W., Nigam, A., Gurumurthi, S., Stan, M. R. (2011). The STeTSiMS STT-RAM simulation and modeling system. In ICCAD, pp. 318–325, Nov 2011.

    Google Scholar 

  16. Sun, G., Dong, X., Xie, Y., Li, J., Chen, Y. (2009). A novel architecture of the 3D stacked MRAM L2 cache for CMPs. In 15th HPCA, pp. 239–249. IEEE, 2009.

    Google Scholar 

  17. Wang, X., Zheng, Y., Xi, H., Dimitrov, D. (2008). Thermal fluctuation effects on Spin Torque induced switching: Mean and variations. JAP, 103(3):034507–034507-4, Feb. 2008.

    Google Scholar 

  18. Xu, W., Chen, Y., Wang, X., Zhang, T. (2009). Improving STT MRAM storage density through smaller-than-worst-case transistor sizing. In 46th DAC, pp. 87–90, July 2009.

    Google Scholar 

  19. Xu, C., Niu, D., Zhu, X., Kang, H. S, Nowak, M., Yuan, X. (2011). Device architecture co-optimization of STT-RAM based memory for low power embedded systems. In ICCAD, p. 463–470, Nov 2011.

    Google Scholar 

  20. Xu, W., Sun, H., Chen, Y., Zhang, T. (2011). Design of last-level on-chip cache using Spin-Torque Transfer RAM (STT-RAM). In IEEE Transactions on VLSI System, pp. 483–493. IEEE, 2011.

    Google Scholar 

  21. Ye, Y., Liu, F., Nassif, S., Cao, Y. (2008). Statistical modeling and simulation of threshold variation under dopant fluctuations and line-edge roughness. In 45th DAC, pp. 900–905, June 2008.

    Google Scholar 

  22. Zhang, Y., Wang, X., Chen, Y. (2011). STT-RAM Cell Design Optimization for Persistent and Non-Persistent Error rate Reduction: A statistical Design View. In ICCAD, pp. 471–477, Nov. 2011.

    Google Scholar 

  23. Zhou, P., Zhao, B., Yang, J., Zhang, Y. (2009). Energy Reduction for STT-RAM Using Early Write Termination. In ICCAD, pp. 264–268. ACM, 2009.

    Google Scholar 

Download references

Acknowledgments

This work was supported by National Science Foundation grants CNS-1116171 and CCF-1217947, and 49th Design Automation Conference A. Richard Newton Scholarship.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yaojun Zhang .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer Science+Business Media New York

About this chapter

Cite this chapter

Zhang, Y., Wen, W., Chen, Y. (2014). Asymmetry in STT-RAM Cell Operations. In: Xie, Y. (eds) Emerging Memory Technologies. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-9551-3_5

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-9551-3_5

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-9550-6

  • Online ISBN: 978-1-4419-9551-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics