Skip to main content

NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Non-volatile Memory

  • Chapter
  • First Online:
Book cover Emerging Memory Technologies

Abstract

Various new non-volatile memory (NVM) technologies have emerged recently. Among all the investigated new NVM candidate technologies, spin-torque transfer memory (STT-RAM, or MRAM), phase change memory (PCRAM), and resistive memory (ReRAM) are regarded as the most promising candidates. As the ultimate goal of this NVM research is to deploy them into multiple levels in the memory hierarchy, it is necessary to explore the wide NVM design space and find the proper implementation at different memory hierarchy levels from highly latency-optimized caches to highly density-optimized secondary storage. While abundant tools are available as SRAM/DRAM design assistants, similar tools for NVM designs are currently missing. Thus, in this work, we develop NVSim, a circuit-level model for NVM performance, energy, and area estimation, which supports various NVM technologies including STT-RAM, PCRAM, ReRAM, and legacy NAND flash. NVSim is successfully validated against industrial NVM prototypes, and it is expected to help boost architecture-level NVM-related studies.

Keywords

These keywords were added by machine and not by the authors. This process is experimental and the keywords may be updated as the learning algorithm improves.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    Equations 2.5 and 2.6 are for long-channel drift/diffusion devices, and the equations are subjected to change depending on the technology, though the proportional relationship between the current and W/L still holds for very advanced technologies.

  2. 2.

    Usually, the transitor length (L) is fixed as the minimal feature size, and the transistor width (W) is adjustable.

  3. 3.

    One of the exceptions is that NVSim records the detailed IV curves for cross-point ReRAM cells without diode because we need to leverage the nonlinearity of the storage element.

References

  1. Ahn, S.J., et al. (2004). Highly manufacturable high density phase change memory of 64Mb and beyond. Proceedings of the International Electron Devices Meeting (pp. 907–910).

    Google Scholar 

  2. Burr, G. W., et al. (2010). Phase change memory technology. Journal of Vacuum Science and Technology B, 28(2), 223–262.

    Article  Google Scholar 

  3. Chen, Y.C., et al. (2003). An access-transistor-free (0T/1R) non-volatile resistance random access memory (RRAM) using a novel threshold switching, self-rectifying chalcogenide device. Proceedings of the International Electron Devices Meeting (pp. 750–753).

    Google Scholar 

  4. Chen, Y.S., et al. (2009). Highly scalable hafnium oxide memory with improvements of resistive distribution and read disturb immunity. Proceedings of the International Electron Devices Meeting (pp. 105–108).

    Google Scholar 

  5. Chien, W., et al. (2009). Multi-level operation of fully CMOS compatible WO\(_x\) resistive random access memory (RRAM). Proceedings of the International Memory, Workshop (pp. 228–229).

    Google Scholar 

  6. Dong, X., et al. (2008). Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement. Proceedings of the Design Automation Conference (pp. 554–559).

    Google Scholar 

  7. Dong, X., et al. (2009). PCRAMsim: System-level performance, energy, and area modeling for phase-change RAM. Proceedings of the International Conference on, Computer-Aided Design (pp. 269–275).

    Google Scholar 

  8. Evans, R. J., & Franzon, P. D. (1995). Energy consumption modeling and optimization for SRAM’s. IEEE Journal of Solid-State Circuits, 30(5), 571–579.

    Article  Google Scholar 

  9. Fishburn, F., et al. (2004). A 78nm 6F\(^2\) DRAM technology for multigigabit densities. Proceedings of the Symposium on VLSI Technology (pp. 28–29).

    Google Scholar 

  10. Grupp, L.M., et al. (2009). Characterizing flash memory: Anomalies, observations, and applications. Proceedings of the International Symposium on Microarchitecture (pp. 24–33).

    Google Scholar 

  11. Hanzawa, S., et al. (2007). A 512kB embedded phase change memory with 416kB/s write throughput at 100\(\mu \)A cell write current. Proceedings of the International Solid-State Circuits Conference (pp. 474–616).

    Google Scholar 

  12. Horowitz, M. A. (1983). Timing models for MOS circuits. Tech. rep. California: Stanford University.

    Google Scholar 

  13. Hosomi, M., et al. (2005). A novel nonvolatile memory with spin torque transfer magnetization switching: Spin-RAM. International Electron Devices Meeting (pp. 459–462).

    Google Scholar 

  14. International Technology Roadmap for Semiconductors: Process Integration, Devices, and Structures 2010 Update. http://www.itrs.net/

  15. International Technology Roadmap for Semiconductors: The Model for Assessment of CMOS Technologies And Roadmaps (MASTAR). http://www.itrs.net/models.html

  16. Ishida, K., et al. (2009). A 1.8V 30nJ adaptive program-voltage (20V) generator for 3D-integrated NAND flash SSD. Proceedings of the IEEE International Solid-State Circuits Conference (pp. 238–239,239a).

    Google Scholar 

  17. Kang, S., et al. (2007). A 0.1 \(\mu \)m 1.8V 256Mb phase-change random access memory (PRAM) with 66MHz synchronous burst-read operation. IEEE Journal of Solid-State Circuits, 42(1), 210–218.

    Google Scholar 

  18. Kau, D., et al. (2009). A stackable cross point phase change memory. Proceedings of the IEEE International Electron Devices Meeting (pp. 27.1.1-27.1.4).

    Google Scholar 

  19. Kawahara, T., et al. (2007). 2Mb spin-transfer torque RAM (SPRAM) with bit-by-bit bidirectional current write and parallelizing-direction current read. IEEE International Solid-State Circuits Conference (pp. 480–617).

    Google Scholar 

  20. Kim, K. H., et al. (2010). Nanoscale resistive memory with intrinsic diode characteristics and long endurance. Applied Physics Letters, 96(5), 053,106.1-053,106.3.

    Google Scholar 

  21. Lee, K. J., et al. (2008). A 90nm 1.8V 512Mb diode-switch PRAM with 266MB/s read throughput. IEEE Journal of Solid-State Circuits, 43(1), 150–162.

    Article  Google Scholar 

  22. Lee, M.J., et al. (2007). 2-stack 1D–1R cross-point structure with oxide diodes as switch elements for high density resistance RAM applications. Proceedings of the IEEE International Electron Devices Meeting (pp. 771–774).

    Google Scholar 

  23. Liang, J., & Wong, H. S. P. (2010). Cross-point memory array without cell selectors: Device characteristics and data storage pattern dependencies. IEEE Transactions on Electron Devices, 57(10), 2531–2538.

    Article  Google Scholar 

  24. Lin, W., et al. (2010). Evidence and solution of over-RESET problem for HfO\(_x\) based resistive memory with sub-ns switching speed and high endurance. Proceedings of the International Electron Devices Meeting (pp. 19.7.1-19.7.4).

    Google Scholar 

  25. Mamidipaka, M., Dutt, N. (2004). eCACTI: An enhanced power estimation model for on-chip caches. Tech. Rep. TR04-28, Center for Embedded Computer Systems.

    Google Scholar 

  26. Mangalagiri, P., et al. (2008). A low-power phase change memory based hybrid cache architecture. Proceedings of the Great Lakes Symposium on VLSI (pp. 395–398).

    Google Scholar 

  27. Mohan, V., et al. (2010). FlashPower: A detailed power model for NAND flash memory. Proceedings of Design, Automation and Test in, Europe (pp. 502–507).

    Google Scholar 

  28. Moon, Y., et al. (2009). 1.2V 1.6Gb/s 56nm 6F\(^2\) 4Gb DDR3 SDRAM with hybrid-I/O sense amplifier and segmented sub-array architecture. Proceedings of the International Solid-State Circuits Conference (pp. 128–129).

    Google Scholar 

  29. Muralimanohar, N., et al. (2008). Architecting efficient interconnects for large caches with CACTI 6.0. IEEE Micro, 28(1), 69–79.

    Article  Google Scholar 

  30. Oh, H. R., et al. (2006). Enhanced write performance of a 64-Mb phase-change random access memory. IEEE Journal of Solid-State Circuits, 41(1), 122–126.

    Article  Google Scholar 

  31. Oh, J.H., et al. (2006). Full integration of highly manufacturable 512Mb PRAM based on 90nm technology. Proceedings of the International Electron Devices Meeting (pp. 49–52).

    Google Scholar 

  32. Pellizzer, F., et al. (2004). Novel \(\mu \)Trench phase-change memory cell for embedded and stand-alone non-volatile memory applications. Proceedings of the International Symposium on VLSI Technology (pp. 18–19).

    Google Scholar 

  33. Raoux, S., et al. (2008). Phase-change random access memory: A scalable technology. IBM Journal of Research and Development, 52(4/5),

    Google Scholar 

  34. Seevinck, E., et al. (1991). Current-mode techniques for high-speed VLSI circuits with application to current sense amplifier for CMOS SRAM’s. IEEE Journal of Solid-State Circuits, 26(4), 525–536.

    Article  Google Scholar 

  35. Sheu, S.S., et al. (2011). A 4Mb embedded SLC resistive-RAM macro with 7.2ns read-write random-access time and 160ns MLC-access capability. Proceedings of the IEEE International Solid-State Circuits Conference (pp. 200–201).

    Google Scholar 

  36. Smullen, C., et al. (2011). Relaxing non-volatility for fast and energy-efficient STT-RAM caches. Proceedings of the International Symposium on High Performance Computer, Architecture ( pp. 50–61).

    Google Scholar 

  37. Sutherland, I. E., et al. (1999). Logical effort: designing fast CMOS circuits. Morgan Kaufmann.

    Google Scholar 

  38. Thoziyoor, S., et al. (2008). A comprehensive memory modeling tool and its application to the design and analysis of future memory hierarchies. Proceedings of the International Symposium on Computer, Architecture (pp. 51–62).

    Google Scholar 

  39. Thoziyoor, S., et al. (2008). CACTI 5.1 technical report. Tech. Rep. HPL-2008-20, HP Labs.

    Google Scholar 

  40. Tsuchida, K., et al. (2010). A 64Mb MRAM with clamped-reference and adequate-reference schemes. Proceedings of the International Solid-State Circuits Conference (pp. 268–269).

    Google Scholar 

  41. Udipi, A. N., et al. (2010). Rethinking DRAM design and organization for energy-constrained multi-cores. ACM SIGARCH Computer Architecture News, 38(3), 175–186.

    Google Scholar 

  42. Wei, Z., et al. (2008). Highly reliable TaO\(_x\) ReRAM and direct evidence of redox reaction mechanism. Proceedings of the International Electron Devices Meeting (pp. 293–296).

    Google Scholar 

  43. Wilton, S. J. E., & Jouppi, N. P. (1996). CACTI: An enhanced cache access and cycle time model. IEEE Journal of Solid-State Circuits, 31, 677–688.

    Google Scholar 

  44. Xu, C., et al. (2011). Design implications of memristor-based RRAM cross-point structures. Proceedings of Design, Automation and Test in, Europe, (pp. 1–6).

    Google Scholar 

  45. Yang, J. J., et al. (2008). Memristive switching mechanism for metal/oxide/metal nanodevices. Nature Nanotechnology, 3(7), 429–433.

    Google Scholar 

  46. Yoshitaka, S., et al. (2009). Cross-point phase change memory with 4F\(^2\) cell size driven by low-contact-resistivity poly-Si diode. Proceedings of the Symposium on VLSI Technology (pp. 24–25).

    Google Scholar 

  47. Zhang, Y., et al. (2007). An integrated phase change memory cell with Ge nanowire diode for cross-point memory. Proceedings of the IEEE Symposium on VLSI Technology (pp. 98–99).

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yuan Xie .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer Science+Business Media New York

About this chapter

Cite this chapter

Dong, X., Xu, C., Jouppi, N., Xie, Y. (2014). NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Non-volatile Memory. In: Xie, Y. (eds) Emerging Memory Technologies. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-9551-3_2

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-9551-3_2

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-9550-6

  • Online ISBN: 978-1-4419-9551-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics