Skip to main content
  • 3361 Accesses

Abstract

Despite the momentum 3D IC technology has gained recently, there has been little progress on timing optimization for 3D ICs. In this chapter, we first study the fact that Through-Silicon-Vias (TSVs) have large parasitic capacitances that increase signal slew. Next, we develop a buffer insertion algorithm that improves the delay of both 3D and 2D nets in a 3D IC with explicit consideration of signal slew. The effectiveness of this technique is demonstrated with various nets and full-chip results. Compared with the well-known van Ginneken algorithm and the timing-constraint-based 2D optimization by a commercial software, our algorithm finds buffering solutions with lower slew-aware delay and buffer usage with tolerable runtime overhead.

The materials presented in this chapter are based on [8].

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. C. Alpert, A. Devgan, Wire segmenting for improved buffer insertion, in Proceedings of ACM Design Automation Conference, Anaheim, 1997, pp. 588–593

    Google Scholar 

  2. C.J. Alpert, A. Devgan, S.T. Quay, Buffer insertion with accurate gate and interconnect delay computation, in Proceedings of ACM Design Automation Conference, New Orleans, 1999, pp. 479–484

    Google Scholar 

  3. C.J. Alpert, A. Devgan, C. Kashyap, A two moment RC delay metric for performance optimization, in Proceedings of International Symposium on Physical Design, San Diego, 2000, pp. 73–78

    Google Scholar 

  4. H.B. Bakoglu, Circuits, Interconnects, and Packaging for VLSI (Addison-Wesley, Reading, MA 1990)

    Google Scholar 

  5. S. Hu, C.J. Alpert, J. Hu, S.K. Karandikar, Z. Li, W. Shi, C.Z. Sze, Fast algorithm for slew-constrained minimum cost buffering. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 26(11), 2009–2022 (2007)

    Article  Google Scholar 

  6. C.V. Kashyap, C.J. Alpert, F. Liu, A. Devgan, Closed-form expressions for extending step delay and slew metrics to ramp inputs for RC trees. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 23(4), 509–516 (2004)

    Article  Google Scholar 

  7. G. Katti, M. Stucchi, K.D. Meyer, W. Dehaene, Electrical modeling and characterization of through silicon via for three-dimensional ICs. IEEE Trans. Electron Devices 57(1), 256–262 (2010)

    Article  Google Scholar 

  8. Y.-J. Lee, I. Hong, S.K. Lim, Slew-aware buffer insertion for through-silicon-via-based 3D ICs, in Proceedings of IEEE Custom Integrated Circuits Conference, San Jose, 2012

    Google Scholar 

  9. J. Lillis, C.-K. Cheng, T.-T. Y. Lin, Optimal wire sizing and buffer insertion for low power and a generalized delay model. IEEE J. Solid-State Circuits 31(3), 437–447 (1996)

    Article  Google Scholar 

  10. F. Liu, C. Kashyap, C.J. Alpert, A delay metric for RC circuits based on the weibull distribution. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 23(3), 443–447 (2004)

    Article  Google Scholar 

  11. Nangate Inc., Nangate 45nm open cell library, available online at http://www.nangate.com/?page_id=22

  12. P.R. O’Brien, T.L. Savarino, Modeling the driving-point characteristic of resistive interconnect for accurate delay estimation, in Proceedings of IEEE International Conference on Computer-Aided Design, Santa Clara, 1989, pp. 512–515

    Google Scholar 

  13. Y. Peng X. Liu, Low-power repeater insertion with both delay and slew rate constraints, in Proceedings of ACM Design Automation Conference, San Francisco, 2006, pp. 302–307

    Google Scholar 

  14. J. Qian, S. Pullela, L. Pillage, Modeling the effective capacitance for the RC interconnect of CMOS gates. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 13(12), 1526–1535 (1994)

    Article  Google Scholar 

  15. L.P.P.P. van Ginneken, Buffer placement in distributed RC-tree networks for minimal elmore delay, in Proceedings of IEEE International Symposium on Circuits and Systems, New Orleans, 1990, pp. 865–868

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Lim, S.K. (2013). Buffer Insertion for 3D IC. In: Design for High Performance, Low Power, and Reliable 3D Integrated Circuits. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-9542-1_3

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-9542-1_3

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-9541-4

  • Online ISBN: 978-1-4419-9542-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics