Skip to main content

Impact of TSV Scaling on 3D IC Design Quality

  • Chapter
  • First Online:
  • 3420 Accesses

Abstract

TSVs incur two major kinds of overhead in the design of 3D ICs. First, TSVs lead to significant silicon area overhead. In addition, the non-negligible TSV parasitic capacitance causes delay overhead in 3D signal paths. Therefore, the possibility of obtaining all the benefits such as wirelength reduction and better performance from 3D ICs is highly dependent on TSV size and TSV capacitance. Meanwhile, TSVs are getting smaller to minimize their negative effects and sub-micron TSVs are expected to be fabricated in the near future. At the same time, the device size is also being downscaled beyond 32 and 22 nm, so it is highly likely that future 3D ICs are built with sub-micron TSVs and advanced device technologies. In this chapter, we study the impact of sub-micron TSVs on the quality of today and future 3D ICs. For future process technologies, we develop 22 and 16 nm libraries. Using these future process libraries as well as a 45 nm library, we generate 3D IC layouts with different TSV sizes and capacitances and study the impact of sub-micron TSVs thoroughly.

The materials presented in this chapter are based on [7].

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Notes

  1. 1.

    If we assume that only the TSV size and the TSV height are downscaled while other design parameters such as the liner thickness and doping concentration are fixed, TSV capacitance decreases as TSVs are downscaled.

  2. 2.

    This observation is strongly dependent on TSV capacitance used at each process node.

  3. 3.

    A “X μm TSV” in this chapter denotes a TSV whose width ( = for square-shaped TSVs) or diameter ( = for cylindrical-type TSVs) is X μm.

  4. 4.

    We referred to the standard cell layouts of the Nangate 45 nm standard cell library [18].

  5. 5.

    There exist many kinds of 3D integration and some of them (e.g., core-DRAM stacking) provide a huge amount of power saving by removing long chip-to-chip connections.

  6. 6.

    Note that this is a simplified analysis. In reality, the total power should be computed in a more sophisticated fashion taking switching activities of nets and gates into account.

References

  1. P. Bai et al., A 65 nm logic technology featuring 35 nm gate lengths, enhanced channel strain, 8 Cu interconnect layers, low-k ILD and 0.57 μm2 SRAM cell, in Proceedings of the IEEE International Electron Devices Meeting, IEEE, Piscataway, 2004

    Google Scholar 

  2. R.E. Farhane, M. Assous, P. Leduc, A. Thuaire, D. Bouchu, H. Feldis, N. Sillon, A successful implementation of dual damascene architecture to copper TSV for 3D high density, in Proceedings of the IEEE International 3D Systems Integration Conference, IEEE, Piscataway, 2010

    Google Scholar 

  3. ITRS. International Technology Roadmap for Semiconductors 2007 Edition Interconnect. http://www.itrs.net

  4. G. Katti, M. Stucchi, K.D. Meyer, W. Dehaene, Electrical modeling and characterization of through silicon via for three-dimensional ICs. IEEE Trans. Electron Devices, IEEE, Piscataway, 57(1), 256–262 (2010)

    Google Scholar 

  5. D.H. Kim, S.K. Lim, Through-silicon-via-aware delay and power prediction model for buffered interconnects in 3D ICs, in Proceedings of the ACM/IEEE International Workshop on System Level Interconnect Prediction, ACM, New York, 2010, pp. 25–31

    Google Scholar 

  6. D.H. Kim, S.K. Lim, Impact of through-silicon-via scaling on the wirelength distribution of current and future 3D ICs, in Proceedings of the IEEE International Interconnect Technology Conference, IEEE, Piscataway, 2011

    Google Scholar 

  7. D.H. Kim, S.K. Lim, Design quality trade-off studies for 3D ICs built with sub-micron TSVs and future devices. IEEE J. Emerg. Sel. Top. Circuits Syst. IEEE, Piscataway, 2(2), 240–248 (2012)

    Google Scholar 

  8. D. H. Kim, K. Athikulwongse, S.K. Lim, A study of through-silicon-via impact on the 3D stacked IC layout, in Proceedings of the IEEE International Conference on Computer-Aided Design, IEEE, Piscataway, 2009, pp. 674–680

    Google Scholar 

  9. D.H. Kim, S. Mukhopadhyay, S.K. Lim, Through-silicon-via aware interconnect prediction and optimization for 3D stacked ICs, in Proceedings of the ACM/IEEE International Workshop on System Level Interconnect Prediction, ACM, New York, 2009, pp. 85–92

    Google Scholar 

  10. D.H. Kim, S. Mukhopadhyay, S.K. Lim, TSV-aware interconnect length and power prediction for 3D stacked ICs, in Proceedings of the IEEE International Interconnect Technology Conference, IEEE, Piscataway, 2009, pp. 26–28

    Google Scholar 

  11. Y.S. Kim, A. Tsukune, N. Maeda, H. Kitada, A. Kawai, K. Arai, K. Fujimoto, K. Suzuki, Y. Mizushima, T. Nakamura, T. Ohba, T. Futatsugi, M. Miyajima, Ultra thinning 300-mm wafer down to 7-um for 3D wafer integration on 45-nm node CMOS using strained silicon and Cu/low-k interconnects, in Proceedings of the IEEE International Electron Devices Meeting, IEEE, Piscataway, 2009, pp. 14.6.1–14.6.4

    Google Scholar 

  12. D.H. Kim, S. Kim, S.K. Lim, Impact of sub-micron through-silicon vias on the quality of today and future 3D IC Designs, in Proceedings of the ACM/IEEE International Workshop on System Level Interconnect Prediction, ACM, New York, 2011

    Google Scholar 

  13. D.H. Kim, K. Athikulwongse, M.B. Healy, M.M. Hossain, M. Jung, I. Khorosh, G. Kumar, Y.-J. Lee, D.L. Lewis, T.-W. Lin, C. Liu, S. Panth, M. Pathak, M. Ren, G. Shen, T. Song, D.H. Woo, X. Zhao, J. Kim, H. Choi, G.H. Loh, H.-H.S. Lee, S.K. Lim, 3D-MAPS: 3D massively parallel processor with stacked memory, in Proceedings of the IEEE International Solid-State Circuits Conference, IEEE, Piscataway, 2012, pp. 188–190

    Google Scholar 

  14. M. Koyanagi, T. Fukushima, T. Tanaka, High-density through silicon vias for 3-D LSIs. Proc. IEEE, IEEE, Piscataway, 97(1), 49–59 (2009)

    Google Scholar 

  15. Y.-J. Lee, S.K. Lim, Timing analysis and optimization for 3D stacked multi-core microprocessors, in Proceedings of the International 3D System Integration Conference, IEEE, Piscataway, 2010

    Google Scholar 

  16. K. Mistry et al., A 45 nm logic technology with high-k+metal gate transistors, strained silicon, 9 Cu interconnect layers, 193 nm dry patterning, and 100 % Pb-free packaging, in Proceedings of the IEEE International Electron Devices Meeting, IEEE, Piscataway, 2007

    Google Scholar 

  17. M. Motoyoshi, Through-silicon via (TSV). Proc. IEEE, IEEE, Piscataway, 97(1), 43–48 (2009)

    Google Scholar 

  18. Nangate, Nangate FreePDK45 open cell library. http://www.nangate.com

  19. P. Packan et al., High performance 32 nm logic technology featuring 2nd generation high-k + metal gate transistors, in Proceedings of the IEEE International Electron Devices Meeting, IEEE, Piscataway, 2009

    Google Scholar 

  20. M. Pathak, Y.-J. Lee, T. Moon, S.K. Lim, Through-silicon-via management during 3D physical design: when to add and how many? in Proceedings of the IEEE International Conference on Computer-Aided Design, IEEE, Piscataway, 2010, pp. 387–394

    Google Scholar 

  21. PTM, Predictive technology model. http://ptm.asu.edu

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Lim, S.K. (2013). Impact of TSV Scaling on 3D IC Design Quality. In: Design for High Performance, Low Power, and Reliable 3D Integrated Circuits. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-9542-1_19

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-9542-1_19

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-9541-4

  • Online ISBN: 978-1-4419-9542-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics