Skip to main content

Post-Silicon Validation of Processor Cores

  • Chapter
  • First Online:

Abstract

Verification remains an integral and crucial phase of the modern microprocessor design and manufacturing process. Unfortunately, with soaring design complexities and decreasing time-to-market windows, today’s verification approaches are incapable of fully validating a processor design before its release to the public. Increasingly, post-silicon validation is deployed to detect complex functional bugs, in addition to exposing electrical and manufacturing defects. This is due to the significantly higher execution performance offered by post-silicon methods, compared to pre-silicon approaches. We begin this chapter with an overview of traditional post-silicon validation techniques, as reported by the industry.We pay special attention to error detection and debuggingmethodologies discussed in the literature and identify several crucial drawbacks in traditional post-silicon techniques. In particular, we show how the performance of architectural simulators, used to determine the correctness of post-silicon tests, have become a bottleneck in current methodologies.We then discuss in detail a novel solution to address this issue, called Reversi. Reversi generates random programs in such a way that their correct final state is known at generation time, thus completely eliminating the need for architectural simulation. At the end of the chapter, we demonstrate experimentally that Reversi generates tests exposingmore bugs faster, and can speed up post-silicon validation by 20 times, when compared to traditional flows.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Miron Abramovici, Paul Bradley, Kumar Dwarakanath, Peter Levin, Gerard Memmi, and Dave Miller. A reconfigurable design-for-debug infrastructure for SoCs. In DAC, Proceedings of the Design Automation Conference, pages 7–12, July 2006.

    Google Scholar 

  2. Advanced Micro Devices, Inc. Revision Guide for AMD AthlonTM64 and AMD OpteronTMProcessors, August 2005. http://www.amd.com/us-en/assets/content_type/white_papers_and_tech_docs/25759.pdf .

  3. Tommy Bojan, Manuel A. Arreola, Eran Shlomo, and Tal Shachar. Functional coverage measurements and results in post-silicon validation of CoreTM2 Duo family. In HLDVT, Proceedings of the International Workshop on High Level Design Validation and Test, pages 145–150, November 2007.

    Google Scholar 

  4. Keith H. Bierman, David R. Emberson, and Liang T. Chen. U.S. Patent no. 7133818: Method and apparatus for accelerated post-silicon testing and random number generation. Sun Microsystems, Inc., November 2006.

    Google Scholar 

  5. Bob Bentley. Validating the IntelR PentiumR 4 microprocessor. In DAC, Proceedings of the Design Automation Conference, pages 224–228, June 2001.

    Google Scholar 

  6. Bochs: The open source IA-32 emulation project, September 2007. http://bochs.sourceforge.net/ .

  7. Kai-hui Chang, Valeria Bertacco, and Igor Markov. Simulation-based bug trace minimization with BMC-based refinement. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 26(1):152–165, 2007.

    Google Scholar 

  8. Kai-hui Chang, Ilya Wagner, Valeria Bertacco, and Igor Markov. Automatic error diagnosis and correction for RTL designs. In HLDVT, Proceedings of the International Workshop on High Level Design Validation and Test, pages 65–72, November 2007.

    Google Scholar 

  9. Travis Eiles, Gary Woods, and Valluri Rao. Optical probing of flip-chip-packaged microprocessors. In ISSCC, Proceedings of the International Solid State Circuits Conference, pages 220–221, February 2000.

    Google Scholar 

  10. Intel Corporation. IntelR CoreTMDuo Desktop Processor E6000 and E4000 Sequence Specification Update, November 2007. http://download.intel.com/design/processor/specupdt/31327921.pdf .

  11. Intel Corporation. IntelR CoreTMExtreme Quad-Core Processor QX6000 Sequence and IntelR CoreTMQuad Processor Q6000 Sequence, November 2007. http://download.intel.com/design/processor/specupdt/31559318.pdf .

  12. Jai Kumar, Catherine Ahlschlager, and Peter Isberg. Post-silicon verification methodology on Sun’s UltraSPARC T2 processor. In HLDVT, Proceedings of the International Workshop on High Level Design Validation and Test, page 47, November 2007.

    Google Scholar 

  13. Ravishankar Kuppuswamy, Peter DesRosier, Derek Feltham, Rehan Sheikh, and Paul Thadikaran. Full hold-scan systems in microprocessors: Cost/benefit analysis. Intel Technology Journal, 08:63–72, February 2004.

    Google Scholar 

  14. Timothe Litt. Support for debugging in the Alpha 21364 microprocessor. In ITC, Proceedings of the International Test Conference, pages 584–589, October 2002.

    Google Scholar 

  15. The M5 simulator system, November 2007. http://www.m5sim.org .

  16. Massimiliano Melani, Francesco D’Ascoli, Corrado Marino, Luca Fanucci, Adolfo Giambastiani, Alessandro Rochhi, Marco De Marinis, and Andrea Monterastelli. An integrated flow from pre-silicon simulation to post-silicon verification. In Research in Microelectronics and Electronics 2006, Ph.D., pages 205–208, June 2006.

    Google Scholar 

  17. Hemant Rotithor. Post-silicon validation methodology for microprocessors. IEEE Design and Test of Computers, 17(4):77–88, October 2000.

    Google Scholar 

  18. Isic Silas, Igor Frumkin, Eilon Hazan, Ehud Mor, and Genadiy Zobin. Systemlevel validation of the IntelR PentiumR M processor. Intel Technology Journal, 07:38–43, May 2003.

    Google Scholar 

  19. Ilya Wagner and Valeria Bertacco. Reversi: Post-silicon validation system for modern microprocessors. In ICCD, Proceedings of the International Conference on Computer Design, pages 307–314, October 2008.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ilya Wagner .

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Wagner, I., Bertacco, V. (2011). Post-Silicon Validation of Processor Cores. In: Post-Silicon and Runtime Verification for Modern Processors. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-8034-2_3

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-8034-2_3

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-8033-5

  • Online ISBN: 978-1-4419-8034-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics