Skip to main content

FONoC: A Fat Tree Based Optical Network-on-Chip for Multiprocessor System-on-Chip

  • Chapter
  • First Online:
  • 1481 Accesses

Part of the book series: Embedded Systems ((EMSY))

Abstract

Multiprocessor systems-on-chip (MPSoCs) make an attractive platform for high-performance applications. Networks-on-chip (NoCs) can improve the on-chip communication bandwidth of MPSoCs. However, traditional metallic interconnects consume a significant amount of power to deliver even higher communication bandwidth required in the near future. Optical NoCs are based on CMOS-compatible optical waveguides and microresonators, and promise significant bandwidth and power advantages. This work proposes a fat tree-based optical NoC (FONoC) including its topology, floorplan, protocols, and a low-power and low-cost optical router, optical turnaround router (OTAR). Different from other optical NoCs, FONoC does not require building a separate electronic NoC for network control. It carries both payload data and network control data on the same optical network, while using circuit switching for the former and packet switching for the latter. The FONoC protocols are designed to minimize network control data and the related power consumption. An optimized turnaround routing algorithm is designed to utilize the low-power feature of OTAR, which can passively route packets without powering on any microresonator in 40% of all cases. Comparing with other optical routers, OTAR has the lowest optical power loss and uses the lowest number of microresonators. An analytical model is developed to characterize the power consumption of FONoC. We compare the power consumption of FONoC with a matched electronic NoC in 45 nm, and show that FONoC can save 87% power comparing with the electronic NoC on a 64-core MPSoC. We simulate the FONoC for the 64-core MPSoC and show the end-to-end delay and network throughput under different offered loads and packet sizes.

Keywords

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Benini L, De Micheli G (2002) Networks on chip: a new paradigm for systems on chip design. In: Design, automation and test in Europe conference and exhibition, Paris, France

    Google Scholar 

  2. Sgroi M, Sheets M, Mihal A, Keutzer K, Malik S, Rabaey J, Sangiovanni-Vincentelli A (2001) Addressing the system-on-a-chip interconnect woes through communication-based design. In: Design automation conference, Las Vegas, NV, USA

    Google Scholar 

  3. Reyes V, Bautista T, Marrero G, Núñez A, Kruijtzer W (2005) A multicast inter-task communication protocol for embedded multiprocessor systems. In: Conference on hardware-software codesign and system synthesis, New York, USA, pp 267–272

    Google Scholar 

  4. Dally W, Towles B (2001) Route packets, not wires: on-chip interconnection networks. In: Design automation conference, Las Vegas, NV, USA

    Google Scholar 

  5. Kumar S, Jantsch A, Soininen JP, Forsell M, Millberg M, Öberg J, Tiensyrjä K, Hemani A (2002) A network on chip architecture and design methodology. In: IEEE Computer Society annual symposium on VLSI, Pittsburgh, PA, USA

    Google Scholar 

  6. Goossens K, Dielissen J, Radulescu A (2005) Æthereal network on chip: concepts, architectures and implementations. IEEE Design Test Comput 22(5):414–421

    Article  Google Scholar 

  7. Kumar A, Peh LS, Kundu P, Jha NK (2008) Toward ideal on-chip communication using express virtual channels. IEEE Micro 28(1):80–90

    Article  Google Scholar 

  8. Amde M, Felicijan T, Efthymiou A, Edwards D, Lavagno L (2005) Asynchronous on-chip networks. In: IEE proceedings: computers and digital techniques, pp 273–283

    Google Scholar 

  9. Xu J, Wolf W, Henkel J, Chakradhar S (2006) A design methodology for application-specific networks-on-chip. In: ACM transactions on embedded computing systems

    Google Scholar 

  10. Shacham A, Lee BG, Biberman A, Bergman K, Carloni LP (2007) Photonic NoC for DMA communications in chip multiprocessors. Hot Interconnects 15:29–36

    Google Scholar 

  11. Briere M, Girodias B et al (2007) System level assessment of an optical NoC in an MPSoC platform. In: Design, automation & test in Europe conference & exhibition, Nice, France

    Google Scholar 

  12. Chen G, Chen H, Haurylau M, Nelson NA, Albonesi DH, Fauchet PM, Friedman EG (2007) Predictions of CMOS compatible on-chip optical interconnect. Integr VLSI J 40(4):434–446

    Article  Google Scholar 

  13. Shacham A, Bergman K, Carloni LP (2007) The case for low-power photonic networks on chip. In: Design automation conference, pp 132–135

    Google Scholar 

  14. Xia F, Sekaric L, Vlasov Y (2007) Ultracompact optical buffers on a silicon chip. Nat Photon 1:65–71

    Article  Google Scholar 

  15. Xu Q, Schmidt B, Pradhan S, Lipson M (2005) Micrometre-scale silicon electro-optic modulator. Nature 435(7040):325–327

    Article  Google Scholar 

  16. Little BE, Foresi JS, Steinmeyer G et al (1998) Ultra-compact Si-SiO2 microring resonator optical channel dropping filters. IEEE Photon Technol Lett 10(4):549–551

    Article  Google Scholar 

  17. Poon AW, Xu F, Luo X (2008) Cascaded active silicon microresonator array cross-connect circuits for WDM networks-on-chip. In: Proceedings of SPIE, vol 6898

    Google Scholar 

  18. Gu H, Xu J, Wang Z (2008) ODOR: a microresonator-based high-performance low-cost router for optical networks-on-chip. In: Proceedings of international conference on hardware-software codesign and system synthesis, Atlanta, Georgia, USA

    Google Scholar 

  19. Leiserson CE, Abuhamdeh ZS, Douglas DC, Feynman CR, Ganmukhi MN et al (1992) The network architecture of the connection machine CM-5. In: Proceedings of the fourth annual ACM symposium on parallel algorithms and architectures, San Diego, CA, USA, pp 272–285

    Google Scholar 

  20. Hossain H, Akbar M, Islam M (2005) Extended-butterfly fat tree interconnection (EFTI) architecture for network on chip. In: IEEE Pacific Rim conference on communications, computers and signal processing, Victoria, BC, Canada, pp 613–616

    Google Scholar 

  21. Jeang YL, Huang WH, Fang WF (2004) A binary tree architecture for application specific network on chip (ASNOC) design. In: IEEE Asia-Pacific conference on circuits and systems, Tainan, Taiwan, pp 877–880

    Google Scholar 

  22. Adriahantenaina A, Charlery H, Greiner A, Mortiez L, Zeferino CA (2003) SPIN: a scalable, packet switched, on-chip micro-network. In: Design, automation and test in Europe conference and exhibition (DATE), Munich, Germany, pp 70–73

    Google Scholar 

  23. Pande PP, Grecu C, Jones M, Ivanov A, Saleh R (2005) Performance evaluation and design trade-offs for network-on-chip interconnect architectures. IEEE Trans Comput 54:1025–1040

    Article  Google Scholar 

  24. Strumpen V, Krishnamurthy A (2005) A collision model for randomized routing in fat-tree networks. J Parallel Distrib Comput 65:1007–1021

    Article  MATH  Google Scholar 

  25. Kim J, Nicopoulos C, Park D, Das R, Xie Y, Vijaykrishnan N, Das C (2007) A novel dimensionally-decomposed router for on-chip communication in 3D architectures. In: Proceedings of the annual international symposium on computer architecture (ISCA), San Diego, CA, USA, pp 138–149

    Google Scholar 

  26. Xu Q, Manipatruni S, Schmidt B, Shakya J, Lipson M (2007) 12.5 Gbit/s carrier injection-based silicon microring silicon modulators. Opt Express 15(2):430–436

    Article  Google Scholar 

  27. Kromer C, Sialm G, Berger C, Morf T, Schmatz ML, Ellinger F et al (2005) A 100-mW 4  ×  10 Gb/s transceiver in 80-nm CMOS for high-density optical interconnects. IEEE J Solid-State Circuit 40(12):2667–2679

    Article  Google Scholar 

  28. Xiao S, Khan MH, Shen H, Qi M (2007) Multiple-channel silicon micro-resonator based filters for WDM applications. Opt Express 15:7489–7498

    Article  Google Scholar 

Download references

Acknowledgments

This work is partially supported by HKUST PDF and RGC of the Hong Kong Special Administrative Region, China.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jiang Xu .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Xu, J., Gu, H., Zhang, W., Liu, W. (2013). FONoC: A Fat Tree Based Optical Network-on-Chip for Multiprocessor System-on-Chip. In: O'Connor, I., Nicolescu, G. (eds) Integrated Optical Interconnect Architectures for Embedded Systems. Embedded Systems. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-6193-8_4

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-6193-8_4

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-6192-1

  • Online ISBN: 978-1-4419-6193-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics