Skip to main content

A Survey of Coarse-Grain Reconfigurable Architectures and Cad Tools

Basic Definitions, Critical Design Issues and Existing Coarse-grain Reocnfigurable Systems

  • Chapter

According to the granularity of configuration, reconfigurable systems are classified in two categories, which are the fine- and coarse-grain ones. The purpose of this chapter is to study the features of coarse-grain reconfigurable systems, to examine their advantages and disadvantages, to discuss critical design issues that must be addressed during their development, and to present representative coarse-grain reconfigurable systems that have been proposed in the literature.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. K. Compton and S. Hauck, “Reconfigurable Computing a Survey of Systems and Software”, in ACM Computing Surveys, Vol. 34, No. 2, pp.171–210, June 2002.

    Article  Google Scholar 

  2. A. De Hon and J. Wawrzyenk, “Reconfigurable Computing” What, Why and Implications of Design Automation”, in Proc. of DAC, pp. 610–615, 1999.

    Google Scholar 

  3. R. Hartenstein, “A Decade of Reconfigurable Computing: a Visionary Perspective”, in Proc. of DATE, pp. 642–649, 2001.

    Google Scholar 

  4. A. Shoa and S. Shirani, “Run-Time Reconfigurable Systems for Digital Signal Processing Applications: A Survey”, in Journal of VLSI Signal Processing, Vol. 39, pp. 213–235, 2005, Springer Science.

    Article  Google Scholar 

  5. P. Schaumont, I.Verbauwhede, K. Keutzer, and Majid Sarrafzadeh, “A Quick Safari Through the Reconfigurable Jungle”, in Proc. of DAC, pp. 172–177, 2001.

    Google Scholar 

  6. R. Hartenstein, “Coarse Grain Reconfigurable Architectures”, in. Proc. of ASP-DAC, pp. 564–570, 2001.

    Google Scholar 

  7. F. Barat, R.Lauwereins, and G. Deconick, “Reconfigurable Instruction Set Processors from a Hardware/Software Perspective”, in IEEE Trans. on Software Engineering, Vol. 28, No.9, pp. 847–862, Sept. 2002.

    Article  Google Scholar 

  8. M. Sima, S. Vassiliadis, S. Cotofana, J. Eijndhoven, and K. VIssers, “Field-Programmable Custom Computing Machines–A Taxonomy-”, in Proc. of Int. Conf. on Field Programmable Logic and Applications (FLP), pp. 77–88, Springer-Verlag, 2002.

    Google Scholar 

  9. I. Kuon, and J. Rose, “Measuring the Gap Between FPGAs and ASICs”, in IEEE Trans. on CAD, vol 26., No2., pp. 203–215, Feb 07.

    Google Scholar 

  10. A. De Hon, “Reconfigurable Accelerators”, Technical Report 1586, MIT Artificial Intelligence Laboratory, 1996.

    Google Scholar 

  11. K. Compton, “Architecture Generation of Customized Reconfigurable Hardware”, Ph.D Thesis, Northwestern Univ, Dept. of ECE, 2003.

    Google Scholar 

  12. K. Compton and S. Hauck, “Flexibility Measurement of Domain-Specific Reconfigurable Hardware”, in Proc. of Int. Symp. on FPGAs, pp. 155–161, 2004.

    Google Scholar 

  13. J. Darnauer and W.W.-M. Dai, “A Method for Generating Random Circuits and its Application to Routability Measurement”, in Proc. of Int. Symp. on FPGAs, 1996.

    Google Scholar 

  14. M. Hutton, J Rose, and D. Corneli, “Automatic Generation of Synthetic Sequential Benchmark Circuits”, in IEEE Trans. on CAD, Vol. 21, No. 8, pp. 928–940, 2002.

    Google Scholar 

  15. M. Hutton, J Rose, J. Grossman, and D. Corneli, “Characterization and Parameterized Generation of Synthetic Combinational Benchmark Circuits:” in IEEE Trans. on CAD, Vol. 17, No. 10, pp. 985–996, 1998.

    Google Scholar 

  16. S. Wilton, J Rose, and Z. Vranesic, “Structural Analysis and Generation of Synthetic Circuits Digital Circuits with Memory”, in IEEE Trans. on VLSI, Vol. 9, No. 1, pp. 223–226, 2001.

    Article  Google Scholar 

  17. P. Heysters, G. Smit, and E. Molenkamp, “A Flexible and Energy-Efficient Coarse-Grained Reconfigurable Architecture for Mobile Systems”, in Journal of Supercomputing, 26, Kluwer Academic Publishers, pp. 283–308, 2003.

    Google Scholar 

  18. A. Abnous and J. Rabaey, “Ultra-Low-Power Domain-Specific Multimedia Processors”, in proc. of IEEE Workshop on VLSI Signal Processing, pp. 461–470, 1996.

    Google Scholar 

  19. M. Wan, H. Zhang, V. George, M. Benes, A. Arnous, V. Prabhu, and J. Rabaey, “Design Methodology of a Low-Energy Reconfigurable Single-Chip DSP System”, in Journal of VLSI Signal Processing, vol. 28, no. 1–2, pp. 47–61, May-June 2001.

    Article  MATH  Google Scholar 

  20. K. Compton, and S. Hauck, “Totem: Custom Reconfigurable Array Generation”: in IEEE Symposium on FPGAs for Custom Machines, pp. 111–119, 2001.

    Google Scholar 

  21. Z. Huang and S. Malik, “Exploiting Operational Level Parallelism through Dynamically Reconfigurable Datapaths”, in Proc. of DAC, pp. 337–342, 2002.

    Google Scholar 

  22. Z. Huang and S. Malik, “Managing Dynamic Reconfiguration Overhead in Systems –on-a-Chip Design Using Reconfigurable Datapaths and Optimized Interconnection Networks”, in Proc. of DATE, pp. 735–740, 2001.

    Google Scholar 

  23. Z. Huang, S. Malik, N. Moreano, and G. Araujo, “The Design of Dynamically Reconfigurable Datapath Processors”, in ACM Trans. on Embedded Computing Systems, Vol. 3, No. 2, pp. 361–384, 2004.

    Article  Google Scholar 

  24. B. Mei, S. Vernadle, D. Verkest, H. De Man, and R. Lauwereins, “ADRES: An Architecture with Tightly Coupled VLIW Reconfigurable Processor and Coarse-Grained Reconfigurable Matrix”, in Proc. of Int. Conf. on Field Programmable Logic and Applications (FLP), pp. 61–70, 2003.

    Google Scholar 

  25. T. Miyamori and K. Olukotun, “REMARC: Reconfigurable Multimedia Array Coprocessor”, in Proc. of Int. Symp. on Field Programmable Gate Arrays (FPGA), pp. 261, 1998.

    Google Scholar 

  26. D. Gronquist, P. Franklin, C. Fisher, M. Figeoroa, and C. Ebeling, “Architecture Design of Reconfiguable Pipeline Datapaths”, in Proc. of Int. Conf. on Advanced VLSI, pp. 23–40, 1999.

    Google Scholar 

  27. C. Ebeling, D. Gronquist, P. Franklin, J. Secosky and, S. Berg, “Mapping Applications to the RaPiD configurable Architecture”, in Proc. of Int. Symp. on Field-Programmable Custom Computing Machines (FCCM), pp. 106–115, 1997.

    Google Scholar 

  28. D. Gronquist, P. Franklin, S. Berg and, C. Ebeling, “Specifying and Compiling Applications on RaPiD”, in Proc. of Int. Symp. on Field-Programmable Custom Computing Machines (FCCM), pp. 116, 1998.

    Google Scholar 

  29. C. Ebeling, C. Fisher, C. Xing, M. Shen, and H. Liu, “Implementing an OFDM Receiver on the Rapid Reconfigurable Architecture”, in IEEE Trans. on Cmputes, Vol. 53, No. 11., pp. 1436–1448, Nov. 2004.

    Article  Google Scholar 

  30. C. Ebeling, L. Mc Murchie, S. Hauck, and S. Burns, “Placement and Routing Tools for the Triptych FPGA”, in IEEE Trans. on VLSI Systems, Vol. 3, No. 4, pp. 473–482, Dec. 1995.

    Article  Google Scholar 

  31. S. Goldstein, H. Schmit, M. Moe, M.Budiu, S. Cadambi, R. Taylor, and R. LEfer, “PipeRench: A Coprocessor for Streaming Multimedia Acceleration”, in Proc. of International Symposium on Computer Architecture (ISCA), pp. 28–39, 1999.

    Google Scholar 

  32. N. Bansal, S. Goupta, N. Dutt, and A. Nicolaou, “Analysis of the Performance of Coarse-Grain Reconfigurable Architectures with Different Processing Elements Configurations”, in Proc. of Workshop on Application Specific Processors (WASP), 2003.

    Google Scholar 

  33. B. Mei, A. Lambrechts, J-Y. Mignolet, D. Verkest, and R. Lauwereins, “Architecture Exploration for a Reconfigurable Architecture Template”, in IEEE Design and Test, Vol. 2, pp. 90–101, 2005.

    Google Scholar 

  34. H. Zang, M. Wan, V. George, and J. Rabaey, “Interconnect Architecture Exploration for Low-Energy Reconfigurable Single-Chips DSPs”, in proc. of Annual Workshop on VLSI, pp. 2–8, 1999.

    Google Scholar 

  35. K. Bondalapati and V. K. Prasanna, “Reconfigurable Meshes: Theory and Practice”, in proc. of Reconf. Architectures Workshop, International Parallel Processing Symposium, 1997.

    Google Scholar 

  36. N. Kavalgjiev and G. Smit, “A survey for efficient on-chip communication for SoC”, in Proc. PROGRESS 2003 Embedded Systems Symposium, October 2003.

    Google Scholar 

  37. N. Bansal, S. Goupta, N. Dutt, A. Nicolaou and R. Goupta, “Network Topology Exploration for Mesh-Based Coarse-Grain Reconfigurable Architectures”, in Proc. of DATE, pp. 474–479, 2004.

    Google Scholar 

  38. J. Lee, K. Choi, and N. Dutt, “Compilation Approach for Coarse-Grained Reconfigurable Architectures”, in IEEE Design & Test, pp. 26–33, Jan-Feb. 2003.

    Google Scholar 

  39. J. Lee, K. Choi, and N. Dutt, “Mapping Loops on Coarse-Grain Reconfigurable Architectures Using Memory Operation Sharing”, Tech. Report, Univ. of California, Irvine, Sept. 2002.

    Google Scholar 

  40. G. Dimitroulakos, M.D. Galanis, and C.E. Goutis, “A Compiler Method for Memory-Conscious Mapping of Applications on Coarse-Grain Reconfigurable Architectures”, in Proc. of IPDPS 05.

    Google Scholar 

  41. K. Compton and S. Hauck, “Flexible Routing Architecture Generation for Domain-Specific Reconfigurable Subsystems”, in Proc. of Field-Programming Logic and Applications (FPL), pp. 56–68, 2002.

    Google Scholar 

  42. K. Compton and S. Hauck, “Automatic Generation of Area-Efficient Configurable ASIC Cores”, submitted to IEEE Trans. on Computers.

    Google Scholar 

  43. R. Kastner et al., “Instruction Generation for Hybrid Reconfigurable Systems”, in ACM Transactions on Design Automation of Embedded Systems (TODAES), vol 7., no.4, pp. 605–627, October, 2002.

    Article  Google Scholar 

  44. J. Cong et al., “Application-Specific Instruction Generation for Configurable Processor Architectures”, in Proc. of ACM International Symposium on Field-Programmable Gate Arrays (FPGA 2004), 2004.

    Google Scholar 

  45. R. Corazao et al., “Performance Optimization Using Template Mapping for Data-path-Intensive High-Level Synthesis”, in IEEE Trans. on CAD, vol.15, no. 2, pp. 877–888, August 1996.

    Google Scholar 

  46. S. Cadambi and S. C. Goldstein, “CPR: a configuration profiling tool”, in Symposium on Field-Programmable Custom Computing Machines (FCCM), 1999.

    Google Scholar 

  47. K. Atasu, et al., “Automatic application-specific instruction-set extensions under microarchitectural constraints”, in Proc. of Design Automation Conference (DAC 2003), pp. 256–261, 2003.

    Google Scholar 

  48. B. Mei, S. Vernadle, D. Verkest, H. De Man., and R. Lauwereins, “DRESC: A Retargatable Compiler for Coarse-Grained Reconfigurable Architectures”, in Proc. of Int. Conf. on Field Programmable Technology, pp. 166–173, 2002.

    Google Scholar 

  49. B. Mei, S. Vernadle, D. Verkest, and R. Lauwereins, “Design Methodology for a Tightly Coupled VLIW/Reconfigurable Matrix Architecture: A Case Study”, in proc. of DATE, pp. 1224–1229, 2004.

    Google Scholar 

  50. P. Heysters, and G. Smit, “Mapping of DSP Algorithms on the MONTIUM Architecture”, in Proc. of Engin. Reconfigurable Systems and Algorithms (ERSA), pp. 45–51, 2004.

    Google Scholar 

  51. G. Venkataramani, W. Najjar, F. Kurdahi, N. Bagherzadeh, W. Bohm, and J. Hammes, “Automatic Compilation to a Coarse-Grained Reconfigurable System-on-Chip”, in ACM Trans. on Embedded Computing Systems, Vol. 2, No. 4, November 2003, Pages 560–589.

    Article  Google Scholar 

  52. H. Singh, M-H Lee, G. Lu, F. Kurdahi, N. Begherzadeh, and E.M.C. Filho, “MorphoSys: an Integrated Reconfigurable System for Data Parallel and Computation-Intensive Applications”, in IEEE Trans. on Computers, 2000.

    Google Scholar 

  53. Quinton and Y. Robert, “Systolic Algorithms and Architectures”, Prentice Hall, 1991.

    Google Scholar 

  54. H. Schmit et al., “PipeRech: A Virtualized Programmable Datapath in 0.18 Micron Technology”, in Proc. of Custom Integrated Circuits, pp. 201–205, 2002.

    Google Scholar 

  55. S. Goldstein et al., “PipeRench: A Reconfigurable Architecture and Compiler”, in IEEE Computers, pp. 70–77, April 2000.

    Google Scholar 

  56. B. Mei, S. Vernadle, D. Verkest, H. De Man., and R. Lauwereins, “Exploiting loop-Level Parallelism on Coarse-Grain Reconfigurable Architectures Using Modulo Scheduling”, in proc. of DATE, pp. 296–301, 2003.

    Google Scholar 

  57. B.R. Rao, “Iterative Modulo Scheduling”, Technical Report, Hewlett-Packard Lab:HPL-94–115, 1995.

    Google Scholar 

  58. Y. Guo, G. Smit, P. Heysters, and H. Broersma “A Graph Covering Algorithm for a Coarse Grain Reconfigurable System”, in Proc. of LCTES 2003, pp. 199–208, 2003

    Google Scholar 

  59. V. Baumgarte, G. Ehlers, F. May, A. Nuckel, M. Vorbach, and W. Weinhardt, “PACT XPP-A Self-Reconfigurable Data Processing Architecture”, in Journal of Supercomputing, Vol. 26, pp. 167–184, 2003, Kluwer Academic Publishers.

    Article  MATH  Google Scholar 

  60. The XPP White Paper”, available at http://www.pactcorp.com.

    Google Scholar 

  61. J. Cardoso and M. Weinhardt, “XPP-VC: A C Compiler with Temporal Partitioning for the PACT-XPP Architecture”, in Proc. of Field-Programming Logic and Applications (FPL), pp. 864–874, Springer-Verlag, 2002.

    Google Scholar 

  62. A. Lodi, M. Toma, F. Campi, A. Cappelli, R. Canegallo, and R. Guerrieri, “A VLIW Processor With Reconfigurable Instruction Set for Embedded Applications”, in IEEE journal of solid-state circuits, vol. 38, no. 11, November 2003, .pp. 1876–1886.

    Google Scholar 

  63. A. La Rosa, L. Lavagno, and C. Passerone, “Implementation of a UMTS Turbo Decoder on a Dynamically Reconfigurable Platform”, in IEEE trans. on CAD, Vol. 24, No. 3, pp. 100–106, Jan. 2005.

    Google Scholar 

  64. A. La Rosa, L. Lavagno, and C. Passerone, “Software Development Tool Chain for a Reconfigurable Processor”, in proc. of CASES, pp. 93–88, 2001.

    Google Scholar 

  65. A. La Rosa, L. Lavagno, and C. Passerone, “Hardware/Software Design Space Exploration for a Reconfigurable Processor”, in proc. of DATE, 2003.

    Google Scholar 

  66. A. La Rosa, L. Lavagno, and C. Passerone, “Software Development for High-Performance, Reconfigurable, Embedded Multimedia Systems”, in IEEE Design & Test of Computers, Jan-Feb 2005, pp. 28–38.

    Google Scholar 

  67. N. Vassiliadis, N. Kavvadias, G. Theodoridis, and S. Nikolaidis, “A RISC Architecture Extended by an Efficient Tightly Coupled Reconfigurable Unit”, in International Journal of Electronics, Taylor & Francis, vol.93, No. 6., pp. 421–438, 2006 (Special Issue Paper of ARC05 conference).

    Article  Google Scholar 

  68. N. Vassiliadis, G. Theodoridis, and S. Nikolaidis, “Exploring Opportunities to Improve the Performance of a Reconfigurable Instruction Set Processor”, accepted for publication in International Journal of Electronics, Taylor & Francis, ( Special Issue Paper of ARC06 conference).

    Google Scholar 

  69. S. Cadambi. J. Weener, S. Goldstein. H. Schmit, and D. Thomas, “Managing Pipeline-Reconfigurable FPGAs”, in Proc. of Int. Symp. on Field Programmable Gate Arrays (FPGA), pp. 55–64, 1998.

    Google Scholar 

Download references

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2007 Springer

About this chapter

Cite this chapter

Theodoridis, G., Soudris, D., Vassiliadis, S. (2007). A Survey of Coarse-Grain Reconfigurable Architectures and Cad Tools. In: Vassiliadis, S., Soudris, D. (eds) Fine- and Coarse-Grain Reconfigurable Computing. Springer, Dordrecht. https://doi.org/10.1007/978-1-4020-6505-7_2

Download citation

  • DOI: https://doi.org/10.1007/978-1-4020-6505-7_2

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-1-4020-6504-0

  • Online ISBN: 978-1-4020-6505-7

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics