Skip to main content

Interconnects in ULSI Systems: Cu Interconnects Electrical Performance

  • Chapter
  • First Online:

Abstract

Integrated electronic systems have advanced in complexity at an exponential rate during the last four decades, as measured by the number of transistors on a single silicon chip [1, 2]. This growth, which had major implications on economy and society, was enabled by continuous miniaturization of transistor devices and the metallic wire structures used for making interconnections among them. In recent technology generations, as a result of the scaling down of all device and wire dimensions, the interconnect structures have become dominant limiters of system performance, power, and cost [3].

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. E.:Cramming more components onto integrated circuits. Electronics 86(1), 1117–119 (1965). Reprinted in Proceedings of the IEEE, 82, 85 (1998)

    Google Scholar 

  2. Borkar, S.: Electronics beyond nano-scale CMOS. In Proceedings of the 43rd Annual Conference on Design Automation. San Francisco, CA, USA (2006)

    Google Scholar 

  3. Davis, J. A. et al.: Interconnect limits on gigascale integration (GSI) in the 21st century. Proc. IEEE 89(3), 305 (2001)

    Article  CAS  Google Scholar 

  4. Landman, B. S.; and Russo, R. L.: On a pin versus block relationship for partitions of logic graphs. IEEE Trans. Comput. C-201, 469 (1971)

    Google Scholar 

  5. Stroobandt, D.: A priori system-level interconnect prediction: Rent’s rule and wire length distribution models. In Proceedings of System Level Interconnect Prediction (SLIP), 3 (2001)

    Google Scholar 

  6. Davis, J. A.; Meindl, J. D.; and Venkatesan, R.: Performance enhancement through optimal N-tier multilevel interconnect architectures. Proceedings of the 12th IEEE ASIC/SOC Conference, Washington D.C. 19 (1999)

    Google Scholar 

  7. Sait, S. M. and Youssef, H.: VLSI Physical Design Automation Theory and Practice. World Scientific, New Jersey (1999)

    Google Scholar 

  8. Pedram, M.: Power minimization in IC design: principles and applications. ACM Trans. Des. Autom. Electron. Syst. 1, 3 (1996)

    Article  Google Scholar 

  9. Magen, N.; Kolodny, A.; Weiser, U.; and Shamir, N.: Interconnect-power dissipation in a Microprocessor. International System Level Interconnect Prediction workshop (SLIP 2004), Paris (2004)

    Google Scholar 

  10. Sato, T.; Cao, Yu; Agarwal, K.; Sylvester, D.; and Hu, C.: Bidirectional closed-form transformation between on-chip coupling noise waveforms and interconnect delay-change curves. IEEE Trans. Computer-Aided Des. Integrated Circuits Syst. l.22(5), 560 (2003)

    Article  Google Scholar 

  11. Banerjee, K. and Mehrotra, A.: Global (interconnect) warming. IEEE Circuits Devices Mag. 17(5), 16 (2001)

    Article  Google Scholar 

  12. Sakurai, T.; and Tamaru, K.: Simple formulas for two- and three-dimensional capacities. IEEE Trans. Electron Devices ED-30(2), (1983)

    Google Scholar 

  13. Wong, S. C.; Lee, G. W.; and Ma, D. J.: Modeling of interconnect capacitance, delay and crosstalk in VLSI. IEEE Trans. Semiconductor Manuf. 13(1), (2000)

    Google Scholar 

  14. Sapatnekar, S. S.: Timing. Springer, New York (2004)

    Google Scholar 

  15. Rabaey, J. M.; Chandrakasan, A.; and Nikolic, B.: Digital Integrated Circuits (2nd Edition), Prentice Hall, Upper Saddle River, New Jersey (2003)

    Google Scholar 

  16. Sutherland, I.; Sproull, B.; Harris, D.: Logical Effort – Designing Fast CMOS Circuits. Morgan Kaufmann, San Fransisco, CA (1999)

    Google Scholar 

  17. Bakoglu, H. B.: Circuits, Interconnections and Packaging for VLSI. Addison-Wesley, Boston, MA, 194, 1990.

    Google Scholar 

  18. Sylvester, D. and Keutzer, K.: Getting to the bottom of deep submicron. In Proc. ICCAD, 203 (1998)

    Google Scholar 

  19. Kahng, A. B. and Muddu, S.: Delay analysis of VLSI interconnections using the diffusion equation model, 31st Conference on Design Automation, 563 (1994)

    Google Scholar 

  20. Elmore, W. C.: The transient response of damped linear networks with particular regard to wide band amplifiers. J. Appl. Phys., 19(1) (1948).

    Google Scholar 

  21. Boese, K. D.; Kahng, A. B.; McCoy, B. A.; and Robins, G.: Fidelity and near-optimality of Elmore-based routing constructions. In Proceedings of 1993 IEEE International Conference on Computer Design (ICCD ’93), 81 (1993)

    Google Scholar 

  22. Ismail, Y. I. Friedman, E. G.; and Neves, J. L.: Figures of Merit to characterize the importance of On-Chip Inductance. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 7(4), 442 (1999)

    Article  Google Scholar 

  23. Bakoglu, H. B. and Meindl, J. D.: Optimal interconnection circuits for VLSI. IEEE Trans. Electron Devices, ED-32, 903 (1985)

    Article  Google Scholar 

  24. Ismail, Y. I. and Friedman, E. G.: Effects of inductance on the propagation delay and repeater insertion in VLSI circuits. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 82(2), 195 (2000)

    Article  Google Scholar 

  25. Gala, K.; Blaauw, D.; Wang, J.; Zolotov, V.; and Zhao, M.: Inductance 101: analysis and design issues. In Proceedings of the 38th Conference on Design Automation (DAC 2001). Las Vegas, Nevada, US (2001)

    Google Scholar 

  26. Goren, D. et al.: On-chip interconnect-aware design and modeling methodology, based on high bandwidth transmission line devices. In Proceedings of the 40th Conference on Design Automation, Anaheim, CA, USA (2003)

    Google Scholar 

  27. Barger, A.; Goren, D.; and Kolodny, A.: Design and modelling of network on chip interconnects using transmission lines. In Proceedings of the 2004 11th IEEE International Conference on Electronics, Circuits and Systems, (ICECS 2004), Tel-Aviv, Israel, 403 (2004)

    Google Scholar 

  28. http://public.itrs.net/

  29. Dally, W. J.; and Poulton, J. W.: Digital Systems Engineering. Cambridge University Press, Cambridge (1998)

    Google Scholar 

  30. Ho, R.; Mai, K.; and Horowitz, M.: The future of wires. Proc. IEEE 89(4), (2001)

    Google Scholar 

  31. Bohr, M. T.: Interconnect scaling—the real limiter to high-performance ULSI. In Proc. IEDM., 241 (1995)

    Google Scholar 

  32. Dally, W. J.: Interconnect-limited VLSI architecture. IEEE International Conf. Interconnect Technol., 15 (1999)

    Google Scholar 

  33. Cong, J.: An interconnect-centric design flow for nanometer technologies. Proc. IEEE. 89(4), 505 (2001)

    Article  Google Scholar 

  34. Gupta, P.; Kahng, A. B.; Kim, Y.; and Sylvester, D.: Investigation of performance metrics for interconnect stack architectures. In Proceedings of the 2004 International Workshop on System Level Interconnect Prediction. Paris, France (2004)

    Google Scholar 

  35. Kapur, P.; Chandra, G.; and Saraswat, K. C.: Power estimation in global interconnects and its reduction using a novel repeater optimization methodology. In Proceedings of the 39th Conference on Design Automation. New Orleans, Louisiana, USA (2002)

    Google Scholar 

  36. Chen, G. and Friedman, E. G.: Low-power repeaters driving RC and RLC interconnects with delay and bandwidth constraints. IEEE Trans. Very Large Scale Integrated Syst. 14(2), 161 (2006)

    Article  Google Scholar 

  37. Ismail, Y. I. and Friedman, E. G.: Optimum repeater insertion based on a CMOS delay model for on-chip RLC interconnect. Proceedings of Eleventh Annual IEEE International ASIC Conference, 369 (1998)

    Google Scholar 

  38. Nalamalpu, A.; Srinivasan, S.; and Burleson, W. P.: Boosters for driving long on chip interconnects – design issues, interconnect synthesis, and comparison with repeaters. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 21(1), (2002)

    Google Scholar 

  39. Kaul, H.; and Sylvester, D.: Low-power on-chip communication based on transition-aware global signaling (TAGS). IEEE Transactions on Very Large Scale Integrated Systems. 12(5), 464 (2004)

    Article  Google Scholar 

  40. Svensson, C. and Caputa, P.: Well-behaved interconnect. IEEE Trans. Circuits Syst. – I, 52(2), (2005)

    Google Scholar 

  41. Chu, C. and Wong, D. F.: Closed form solution to simultaneous buffer insertion/sizing and wire sizing. ACM Trans. Design Automation of Electronic Systems 6(3), 343 (2001)

    Article  Google Scholar 

  42. Kahng, A. B.; Muddu, S.; Sarto, E.; and Sharma, R.: Interconnect tuning strategies for high-performance ICs. Proceedings Design, Automation and Test in Europe. 471 (1998)

    Google Scholar 

  43. Wimer, S.; Michaely, S.; Moiseev, K.; and Kolodny, A.: Optimal bus sizing in migration of processor design. IEEE Transactions on Circuits and Systems I. 53(5), 1089 (2006)

    Article  Google Scholar 

  44. Zhang, J. and Friedman, E. G.: Crosstalk modeling for coupled RLC interconnects with application to shield insertion. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 14(6), 641 (2006)

    Article  Google Scholar 

  45. De Micheli, G. and Benini, L.: Networks on Chips: Technology and Tools. Morgan Kaufmann, San Fransisco, CA (2006)

    Google Scholar 

  46. Jantsch, A. and Tenhunen, H. (Eds.): Networks on Chip. Kluwer Academic Publishers, Dordrecht (2003)

    Google Scholar 

  47. Bolotin, E.; Cidon, I.; Ginosar, R.; and Kolodny, A.: Cost considerations in network on chip integration. VLSI J 38(1), 19 (2004)

    Article  Google Scholar 

  48. Popovich, M.; Mezhiba, A. V.; and Friedman, E. G.: Power Distribution Networks with On-Chip Decoupling Capacitors. Springer, New York (2007)

    Google Scholar 

  49. Barger, A.; Goren, D.; and Kolodny, A.: Simple criterion for maximizing data rate in NoC links. 10th IEEE Workshop on Signal Propagation on Interconnects, Berlin, (2006)

    Google Scholar 

  50. Otten, R. H.; and Brayton, R. K.: Planning for performance. In Proc. DAC-127. (1998)

    Google Scholar 

  51. Moreinis, M.; Morgenshtein, A.; Wagner, I. A.; and Kolodny, A.: Repeater insertion combined with LGR methodology for on-chip interconnect timing optimization. Proceedings of the 2004 11th IEEE International Conference on Electronics, Circuits and Systems, 125 (2004)

    Google Scholar 

  52. Moiseev, K.; Wimer, S.; and Kolodny, A.: On optimal irdering of signals in parallel wire bundles. Integration – the VLSI Journal. 41, 253–268 (2008)

    Google Scholar 

  53. Moiseev, K.; Kolodny, A.; and Wimer, S.: Timing-aware power-optimal ordering of signals. ACM Transactions on Design Automation of Electronic Systems. 13(4), Article 65, Sept (2008)

    Google Scholar 

  54. Morgenshtein, A.; Friedman, E.G.; Ginosar, R.; and Kolodny, A.: Timing optimization in logic with interconnect. Proceedings of the ACM/IEEE International Workshop on System Level Interconnect Prediction, pp. 19–26, April (2008)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Avinoam Kolodny .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2009 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Kolodny, A. (2009). Interconnects in ULSI Systems: Cu Interconnects Electrical Performance. In: Shacham-Diamand, Y., Osaka , T., Datta, M., Ohba, T. (eds) Advanced Nanoscale ULSI Interconnects: Fundamentals and Applications. Springer, New York, NY. https://doi.org/10.1007/978-0-387-95868-2_3

Download citation

Publish with us

Policies and ethics