Skip to main content

Electrochemical Processing Tools for Advanced Copper Interconnects: An Introduction

  • Chapter
  • First Online:
Advanced Nanoscale ULSI Interconnects: Fundamentals and Applications

Abstract

The change from vacuum-deposited aluminum to electroplated copper in 1997 brought about a paradigm shift in interconnect technology and in chip making [1]. Since then, most of the leading chip manufacturers have converted to electroplated Cu technology for chip interconnects. Cu interconnects are fabricated by dual Damascene process which is referred to a metallization patterning process by which two insulator (dielectric) levels are patterned, filled with copper, and planarized to create a metal layer consisting of vias and lines. The process steps consist of laying a sandwich of two levels of insulator and etch stop layers that are patterned as holes for vias and troughs for lines. They are then filled with a single metallization step. Finally, the excess material is removed, and the wafer is planarized by chemical mechanical polishing (CMP). While finer details of exact sequence of fabrication steps vary, the end result of forming a metal layer remains the same in which vias are formed in the lower layer, and trenches are formed in the upper layer. Electroplating enables deposition of Cu in via holes and overlying trenches in a single step thus eliminating a via/line interface and significantly reducing the cycle time. Due to these reasons and due to relatively less expensive tooling, electroplating is a cost-effective and efficient process for Cu interconnects [2, 3]. Compared with vacuum deposition processes, electroplated Cu provides improved super filling capabilities and abnormal grain growth phenomena. These properties contribute significantly to improved reliability of Cu interconnects. With the proper choice of additives and plating conditions, void-free, seam-free Damascene deposits are obtained which eliminates surface-like fast diffusion paths for Cu electromigration.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Edelstein, D. C.; Heidenreich, J.; Goldblatt, R.; Cote, W.; Uzoh, C.; Lustig, N.; Roper, P.; McDevitt, T.; Motsiff, W.; Simon, A.; Dukovic, J.; Wachnik, R.; Rathore, H.; Schulz, R.; Su, L.; Luce, S.; and Slattery, J.: Full Copper Wiring in a Sub-0.25 μm CMOS ULSI Technology. Tech. Dig. IEEE Intl. Eletron. Devices Conference 773 (1997)

    Google Scholar 

  2. Andricacos, P. C.; Uzoh, C.; Dukovic, J. O.; Horkans, J.; and Deligianni, H.: Damascene copper electroplating for chip interconnections. IBM J. Res. Dev. 42(5), 567 (1998)

    Article  CAS  Google Scholar 

  3. Datta, M.: Electrochemical processing technologies in chip fabrication: Challenges and opportunities. Electrochim. Acta 48(20–22), 2975 (2003)

    Article  CAS  Google Scholar 

  4. Moffat, T. P.: Bonewich, J. E.; Huber, W. H.; Stanishevsky, A.; Kelly, D. R.; Stafford, G. R.; and Josell, D.: Superconformal electrodeposition of copper in 500–90 nm features. J. Electrochem. Soc. 147, 4524 (2000)

    Article  CAS  Google Scholar 

  5. Kardos, O.: Current distribution on microprofiles, Part I, II, III. Plating, 61, 129, 229, 316 (1974)

    Google Scholar 

  6. Kruglikov, S. S.; Kudriavtsev, N. T.; Vorobiova, G. F.; Antonov, A. Ya.: On the mechanism of levelling by addition agents in electrodeposition of metals. Electrochim. Acta 10(3), 253 (1965)

    Article  CAS  Google Scholar 

  7. Dukovic, J. O.; Tobias, C. W.: Simulation of Leveling in Electrodeposition. J. Electrochem. Soc. 137(12), 3748 (1990)

    Article  CAS  Google Scholar 

  8. Madore, C.; Matlosz, M.; and Landolt, D.: Blocking inhibitors in cathodic leveling. J. Electrochem. Soc. 143, 3927 (1996)

    Article  CAS  Google Scholar 

  9. Ritzdorf, T.: In New Trends in Electrochemical Technology, Microelectronic Packaging, Datta, M.; Osaka, T.; Schultze, J. W., Eds. CRC Press, New York, 3, 471 (2005)

    Google Scholar 

  10. Watts, D. K.; Kimura, N.; and Tsujimura, M.: In New Trends in Electrochemical Technology, Microelectronic Packaging, Datta, M.; Osaka, T.; Schultze, J. W., Eds. New York, 3, 437 (2005)

    Google Scholar 

  11. Bohr, M.: Technology Challenges from Transistor to Packages. Intel Development Forum, Fall (2004)

    Google Scholar 

  12. Martin, S. J.; Godschalsx, J. P.; Mills, M. E.; Shaffer, E. O.; and Townsend, P. H.: Development of a low-dielectric-constant polymer for the fabrication of integrated circuit interconnect. Adv. Mater. 12(23), 1769 (2000)

    Article  CAS  Google Scholar 

  13. Basol, B. M.: Mechanically induced superfilling of low-aspect-ratio cavities in an electrochemical mechanical deposition process. J. Electrochem. Soc. 151, C765 (2004)

    Article  CAS  Google Scholar 

  14. Dubin, V. M.; Simka, H. S.; Shankar, S.; Moon, P.; Marieb, T.; and Datta, M.: In New Trends in Electrochemical Technology, Microelectronic Packaging, Datta, M.; Osaka, T.; Schultze, J. W., Eds. CRC Press, New York, 3, 31 (2005)

    Google Scholar 

  15. Chou, S.: Extending Moore’s Law in the Nanotechnology era. Intel technology and Manufacturing Briefing. Intel Development Forum, February (2004)

    Google Scholar 

  16. Hu, C. K.; Gignac, L.; Rosenberg, R.; Liniger, E.; Rubino, J.; Sambucetti, C.; Domenicucci, A.; Chen, X.; and Stamper, A. K.: Reduced electromigration of Cu wires by surface coating. Appl. Phys. Lett. 81, 1782 (2002)

    Article  CAS  Google Scholar 

  17. Hu, C. K.; Gignac, L.; Liniger, E.; Herst, B.; Rath, D. L.; Chen, S. T.; Kaldor, S.; Simon, A.; and Wang, W.-T.: Comparison of Cu electromigration lifetime in Cu interconnects coated with various caps. Appl. Phys. Lett. 83, 869 (2003)

    Article  CAS  Google Scholar 

  18. Padhi, D.; and Dixit, G.: Key Process Parameters for Copper Electromigration. Solid State Tech. 46(11), (2003)

    Google Scholar 

  19. Moon, P.; Dubin, V.; Johnston, S.; Leu,J.; Raol, K.; and Wu, C.: Process Roadmap and Challenges for Metal Barriers. Proc. IEDM 141 (2003)

    Google Scholar 

  20. Lee, B.: Electroless CoWP Boosts Copper Reliability, Device Performance. Semiconductor International, July (2004)

    Google Scholar 

  21. Tonegawa, T.; Hiroi, M.; Motoyama, K.; Fujii, K.; and Miyamoto, H.: Suppression of bimodal stress-induced voiding using high-diffusive dopant from Cu-alloy seed layer. IEEE Intl. Interconnect Tech. Conf. San Francisco, USA, 216 (2003)

    Google Scholar 

  22. Padhi, D.; Gandikota, S.; McGujrk, C.; Ngyuen, H. B.; Ramanathan, S.; S. Parikh, and Dixit, G.: Investigation of Electromigration Issues in Copper Interconnects. Proc. Adv. Metall. Conf., San Diego, 337 (2002)

    Google Scholar 

  23. Rossnagel, S.: The Latest in Ru-Cu Interconnect Technology. Solid State Technology, online February (2005)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Madhav Datta .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2009 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Datta, M. (2009). Electrochemical Processing Tools for Advanced Copper Interconnects: An Introduction. In: Shacham-Diamand, Y., Osaka , T., Datta, M., Ohba, T. (eds) Advanced Nanoscale ULSI Interconnects: Fundamentals and Applications. Springer, New York, NY. https://doi.org/10.1007/978-0-387-95868-2_26

Download citation

Publish with us

Policies and ethics