Skip to main content

Pulse-Based, 100 Mbps UWB Transceiver

  • Chapter
  • 1115 Accesses

Part of the book series: Series on Integrated Circuits and Systems ((ICIR))

Abstract

A pulse-based FCC-compliant ultra-wideband (UWB) transceiver is designed and integrated as a four chip and planar antenna solution. The signaling is based on 500 MHz-wide subbanded binary-phase-shift-keyed (BPSK) Gaussian pulses centered in one of 14 bands across the 3.1–10.6 GHz bandwidth. The system includes a UWB planar antenna, a Gaussian BPSK transmitter, a direct-conversion front-end, dual 500 MSps analog-to-digital converters, and a parallelized digital baseband for timing control and data demodulation. The RF local oscillators and baseband gain stages are implemented externally. A 100 Mbps wireless link is established with this chipset. A bit-error rate of 10-3 is observed at a -84 dBm sensitivity. This energy-aware receiver is implemented with strategic hardware hooks such that the quality of service is exchangeable with power consumption.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   119.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   159.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   179.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Federal Communications Commission, “Ultra-Wideband Transmission Systems,” Federal Register, vol.67, no.95, February 14, 2002.

    Google Scholar 

  2. L. Stoica, A. Rabbachin, and I. Oppermann, “A Low-Complexity Noncoherent IR-UWB Transceiver Architecture With TOA Estimation,” in IEEE Transactions on Microwave Theory and Techniques, April 2006.

    Google Scholar 

  3. T. Terada, S. Yoshizumi, M. Muqsith, Y. Sanada, and T. Kuroda, “A CMOS Ultra-Wideband Impulse Radio Transceiver for 1-Mb/s Data Communications and ±2.5-cm Range Finding,” IEEE Journal of Solid-State Circuits, vol.41, no.4, pp.891–898, April 2006.

    Google Scholar 

  4. A. Tan and M. Chia, “UWB RADAR Transceiver and Measurement for Medical Imaging,” in IEEE International Workshop on Biomedical Circuits and Systems, 2004.

    Google Scholar 

  5. M. Shen, T. Koivisto, T. Peltonen, L. Zheng, E. Tjukanoff, and H. Tenhunen, “UWB Radio Module Design for Wireless Sensor Networks,” in NORCHIP Conference, 2005.

    Google Scholar 

  6. I. O’Donnell and R. Brodersen, “A 2.3mW Baseband Impulse-UWB Transceiver Front-end in CMOS,” in IEEE Proceedings of the Symposium on VLSI Circuits, June 2006.

    Google Scholar 

  7. A. Tamtrakarn, H. Ishikuro, K. Ishida, M. Takamiya, and T. Sakurai, “A 1-V 299μW Flashing UWB Transceiver Based on Double Thresholding Scheme,” in IEEE Proceedings of the Symposium on VLSI Circuits, June 2006.

    Google Scholar 

  8. S. Chakraborty, N. Belk, A. Batra, M. Goel, and A. Dabak, “Towards Fully Integrated Wideband Transceivers: Fundamental Challenges, Solutions and Future,” in IEEE International Workship on Radio-Frequency Integration Technology, November 2005.

    Google Scholar 

  9. BIBentryALTinterwordspacing “Qualcomms High-End GPS Features Now Available in Mass-Market Chipsets,” October 5 2005. [Online]. Available: urlhttp://www.qualcomm.com/press/releases/2005/ 051003_gpsone_enhance.htm% l BIBentrySTDinterwordspacing

    Google Scholar 

  10. B. P. Ginsburg and A. P. Chandrakasan, “Dual Scalable 500MS/s, 5b Time-Interleaved SAR ADCs for UWB Applications,” in IEEE Proceedings of the Custom Integrated Circuits Conference, September 2005.

    Google Scholar 

  11. R. Blazquez and A. P. Chandrakasan, “Architectures for Energy-Aware Impulse UWB Communication,” in IEEE Proceedings of the International Conference on Acoustics, Speech, and Signal Processing, 2005.

    Google Scholar 

  12. D. D. Wentzloff and A. P. Chandrakasan, “Gaussian Pulse Generators for Subbanded Ultra-Wideband Transmitters,” IEEE Transactions on Microwave Theory and Techniques, vol.54, no.4, pp.1647–1655, June 2006.

    Google Scholar 

  13. J. D. Powell and A. P. Chandrakasan, “Differential and Single Ended Elliptical Antennas for 3.1–10.6 GHz Ultra Wideband Communication,” in IEEE Proceedings of the Antennas and Propagation Society International Symposium, June 2004.

    Google Scholar 

  14. F. S. Lee and A. P. Chandrakasan, “A BiCMOS Ultra-Wideband 3.1-10.6-GHz Front-End,” IEEE Journal of Solid-State Circuits, vol.41, no.8, pp.1784–1791, August 2006.

    Google Scholar 

  15. B. Razavi, T. Aytur, C. Lam, F. -R. Yang, K. -Y. Li, R. -H. Yan, H. -C. Kang, C. -C. Hsu, and C. -C. Lee, “A UWB CMOS Transceiver,” IEEE Journal of Solid-State Circuits, vol.12, pp.2555–2562, 2005.

    Article  Google Scholar 

  16. A. Ismail and A. A. Abidi, “A 3.1–8.2GHz Zero-IF Receiver and Direct Frequency Synthesizer in 0.18μm SiGe BiCMOS for Mode-2 MB-OFDM UWB Communication,” IEEE Journal of Solid-State Circuits, vol.40, pp. 2573–2582, December 2005.

    Google Scholar 

  17. J. Bergervoet, H. Kundur, D. Leenaerts, R. vande Beek, R. Roovers, G. vander Weide, H. Waite, and S. Aggarwal, “A Fully Integrated 3-band OFDM UWB Transceiver in 0.25 μm SiGe BiCMOS,” in IEEE Proceedings of the Radio Frequency Integrated Circuits Symposium, June 2006.

    Google Scholar 

  18. C. Sandner, S. Derksen, D. Draxelmayr, S. Ek, V. Filimon, G. Leach,S. Marsili, D. Matveev, K. Mertens, F. Michl, H. Paule, M. Punzenberger, C. Reindl, R. Salerno, M. Tiebout, A. Wiesbauer, I. Winter, and Z. Zhang, “A WiMedia/MBOA-Compliant CMOS RF Transceiver for UWB,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, February2006.

    Google Scholar 

  19. A. Tanaka, H. Okada, H. Kodama, and H. Ishikawa, “A 1.1V 3.1-to-9.5GHz MB-OFDM UWB Transceiver in 90nm CMOS,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, February 2006.

    Google Scholar 

  20. T. Aytur, H. -C. Kang, R. Mahadevappa, M. Altintas, S. ten Brink,T. Diep, C. -C. Hsu, F. Shi, F. -R. Yang, C. -C. Lee, R. -H. Yan, and B. Razavi, “A Fully Integrated UWB PHY in 0.13 μm CMOS,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, 2006.

    Google Scholar 

  21. BIBentryALTinterwordspacing “XS110 UWB Solution forMedia-Rich Wireless Applications,” 2004. [Online]. Available:urlhttp://www.freescale.com/files/microcontrollers/doc/fact_sheet/MOTUWBF%S.pdf BIBentrySTDinterwordspacing

    Google Scholar 

  22. S. Iida, K. Tanaka, H. Suzuki, N. Yoshikawa, N. Shoji, B. Griffiths,D. Mellor, F. Hayden, I. Butler, and J. Chatwin, “A 3.1 to 5GHz CMOS DSSS UWB Transceiver for WPANs,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, February 2005.

    Google Scholar 

  23. A. Kasamatsu, K. Li, and T. Matsui, “Development of CMOS UWB Transceivers in NICT UWB Consortium,” in Proceedings of the APCM 2005, December 2005.

    Google Scholar 

  24. BIBentryALTinterwordspacing “WiMedia Alliance Technical Resource Webpage,” 2005. [Online]. Available: urlhttp://www.wimedia.org/en/resources/technicallibrary.asp?id=res BIBentrySTDinterwordspacing

    Google Scholar 

  25. R. vande Beek, D. Leenaerts, and G. vander Weide, “A Fast-Hopping Single-PLL 3-Band MB-OFDM UWB Synthesizer,” IEEE Journal of Solid-State Circuits, vol.41, no.7, pp.1522–1529, July 2006.

    Google Scholar 

  26. J. Lee, “A 3-to-8-GHz Fast-Hopping Frequency Synthesizer in 0.18-μm CMOS Technology,” IEEE Journal of Solid-State Circuits, vol.41, no.3, pp.566–573, March 2006.

    Google Scholar 

  27. C. -F. Liang, S. -I. Liu, Y. -H. Chen, T. -Y. Yang, and G. -K. Ma, “A 14-band Frequency Synthesizer for MB-OFDM UWB Application,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, February 2006.

    Google Scholar 

  28. A. Batra, J.Balakrishnan, and A. Dabak, “Multi-band OFDM: A new approach for UWB,” in IEEE Proceedings of the International Symposium on Circuits and Systems, 2004.

    Google Scholar 

  29. S. Aggarwal, D. Leenaerts, R. vande Beek, G. vander Weide, K. Harish, J. Bergervoet, A. Landesman, Y. Zhang, C. Razzell, H. Waite, and R. Roovers, “A Low Power Implementation for the Transmit Path of a UWB Transceiver,” in IEEE Proceedings of the Custom Integrated Circuits Conference, 2006.

    Google Scholar 

  30. A. Azakkour, M. Regis, F. Pourchet, and G. Alquie, “A New Integrated Monocycle Generator and Transmitter for Ultra-Wideband (UWB) Communications,” in IEEE Radio Frequency Integrated Circuits Symposium, pp.79–82, June 200.

    Google Scholar 

  31. S. Bagga, W. A. Serdijn, and J. R. Long, “A PPM Gaussian Monocycle Transmitter for Ultra-Wideband Communication,” in IEEE Conference on Ultra Wideband Systems and Technologies, May 2004.

    Google Scholar 

  32. Y. Jeong, S. Jung, and J. Liu, “A CMOS Impulse Generator for UWB Wireless Communication Systems,” in IEEE International Symposium on Circuits and Systems, vol.4, pp.129–132, May 2004.

    Google Scholar 

  33. L. Smaini, C. Tinella, D. Helal, C. Stoecklin, L. Chabert, C. Devaucelle, R. Cattenoz, N. Rinaldi, and D. Belot, “Single-Chip CMOS Pulse Generator for UWB Systems,” IEEE Journal of Solid-State Circuits, vol.41, no.7, pp.1551–1561, July 2006.

    Google Scholar 

  34. G. -D. Lim, Y. Zheng, W. -G. Yeoh, and Y. Lian, “A novel low power UWB transmitter IC,” in IEEE Radio Frequency Integrated Circuits Symposium, pp.269–272, June 2006.

    Google Scholar 

  35. K. Marsden, H. -J. Lee, D. S. Ha, and H. -S. Lee, “Low power CMOS re-programmable pulse generator for UWB systems,” in IEEE Conference on Ultra Wideband Systems and Technologies, pp.443–447, November 2003.

    Google Scholar 

  36. H. Kim, D. Park, and Y. Joo, “All-digital low-power CMOS pulse generator for UWB system,” IEEE Electronics letters, vol.40, no.24, pp.1534–1535, November 2004.

    Google Scholar 

  37. T. Norimatsu, R. Fujiwara, M. Kokubo, M. Miyazaki, Y. Ookuma, M. Hayakawa, S.Kobayashi, N. Koshizuka, and K. Sakamura, “A Novel UWB Impulse-Radio Transmitter with All-Digitally-Controlled Pulse Generator,” in European Solid-State Circuits Conference, pp.267–270, September 2005.

    Google Scholar 

  38. J. A.C. Bingham, “Multicarrier Modulation for Data Transmission: An Idea Whose Time Has Come,” IEEE Communications Magazine, vol.28, no.5, pp.5–14, May 1990.

    Google Scholar 

  39. J. G. Proakis, Digital Communications, 4thed. New York: McGraw Hill Inc., 2000.

    MATH  Google Scholar 

  40. R. Price and P. E.G. Jr., “A Communication Technique for Multipath Channels,” in IEEE Proceedings of the IRE, vol.46, pp.555–570, March 1958.

    Google Scholar 

  41. G. Turin, “Introduction to Spread-Spectrum Antimultipath Techniques and Their Application to Urban Digital Radio,” in Proceedings of the IEEE, vol.68, pp.328–353, March 1980.

    Google Scholar 

  42. J. S. Lehnert and M. B. Pursley, “Multipath Diversity Reception of Spread Spectrum Multiple-Access Communications,” in IEEE Transactions on Communications, vol.35, pp.1189–1198, November 1987.

    Google Scholar 

  43. L. Feng and W. Namgoong, “An Oversampled Channelized UWB Receiver with Transmitted Reference Modulation,” IEEE Transactions on Wireless Communications, vol.5, no.6, pp.1497–1505, June 2006.

    Google Scholar 

  44. J. Ryckaert, M. Badaroglu, V. D. Heyn, G. V. der Plas, P. Nuzzo, A. Baschirotto, S. D’Amico, C. Desset, H. Suys, M. Libois, B. V. Poucke, P. Wambacq, and B. Gyselinckx, “A 16mA UWB 3-to-5GHz 20Mpulses/s Quadrature Analog Correlation Receiver in 0.18μm CMOS,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, February 2006.

    Google Scholar 

  45. D. Shen, F. Lin, and W. G. Yeoh, “An Analog Correlator with Dynamic Bias Control for Pulse Based UWB Receiver in 0.18 μm CMOS Technology,” in IEEE Proceedings of the Radio Frequency Integrated Circuits Symposium, June 2006.

    Google Scholar 

  46. G. Cusmai, M. Brandolini, P. Rossi, and F. Svelto, “A 0.18-μm CMOS Selective Receiver Front-End for UWB Applications,” IEEE Journal of Solid-State Circuits, vol.41, no.8, pp.1764–1771, August 2006.

    Google Scholar 

  47. R. Roovers, D. M.W. Leenaerts, J. Bergervoet, K. S. Harish, R. C.H. vande Beek, G. vander Weide, H. Waite, Y. Zhang, S. Aggarwal, and C. Razzell, “An Interference-Robust Receiver for Ultra-Wideband Radio in SiGe BiCMOS Technology,” IEEE Journal of Solid-State Circuits, vol.40, no.12, pp.2563–2572, December 2005.

    Google Scholar 

  48. D. D. Wentzloff, R. Blazquez, F. S. Lee, B. P. Ginsburg, J. D. Powell, and A. P. Chandrakasan, “System Design Considerations for Ultra-Wideband Communication,” IEEE Communications Magazine, vol.43, no.8, pp.114–121, 2005.

    Article  Google Scholar 

  49. R. Blazquez, F. S. Lee, D. D. Wentzloff, B. Ginsburg, J. Powell, and A.P.Chandrakasan, “Direct conversion pulsed UWB Transceiver Architecture,” in Design, Automation and Test in Europe, Mar. 2005.

    Google Scholar 

  50. W. A. Kissick, “The Temporal and Spectral Characteristics ofUltra-Wideband Signals,” National Telecommunications and Information Administration (NTIA), Report 01–383, January 2001.

    Google Scholar 

  51. P. P. Newaskar, R. Blazquez, and A. P. Chandrakasan, “A/DPrecision Requirements for an Ultra-Wideband Radio Receiver,” in IEEE Workshop on Signal Processing Systems, pp.270–275, October 2002.

    Google Scholar 

  52. G. Geelen, “A 6 b 1.1 GSample/s CMOS A/D converter,” in IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp.128–129, February 2001.

    Google Scholar 

  53. K. Sushihara and A. Matsuzawa, “A 7b 450MSample/s 50mW CMOSADC in 0.3mm2,” in IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp.170–171, February 2002.

    Google Scholar 

  54. P. Scholtens and M. Vertregt, “A 6-b 1.6-GSample/s flash ADC in 0.18-μm CMOS Using Averaging Termination,” IEEE Journal of Solid-State Circuits, vol.37, no.12, pp.1599–1609, December 2002.

    Google Scholar 

  55. X. Jiang and M. -C.F. Chang, “A 1-GHz Signal Bandwidth 6-bitCMOS ADC with Power-Efficient Averaging,” IEEE Journal of Solid-State Circuits, vol.40, no.2, pp.532–535, February 2005.

    Google Scholar 

  56. C. Sandner, M. Clara, A. Hartig, and F. Kuttner, “A 6-bit 1.2-GS/s Low-Power Flash-ADC in 0.13-μm Digital CMOS,” IEEE Journal of Solid-State Circuits, vol.40, no.7, pp.1499–1505, July 2005.

    Google Scholar 

  57. D. Draxelmayr, “A 6b 600,MHz 10mW ADC Array in Digital 90 nm CMOS,” in ISSCC Dig. Tech. Papers, pp.264–265, February 2004.

    Google Scholar 

  58. D. Johns and K.Martin, Analog Integrated Circuit Design.hskip 1em plus 0.5em minus 0.4emrelax New York: John Wiley & Sons, Inc., 1997.

    Google Scholar 

  59. W. Black and D. Hodges, “Time Interleaved Converter Arrays,” IEEE Journal of Solid-State Circuits, vol.15, no.6, pp.929–938, December 1980.

    Google Scholar 

  60. J. Yuan and C. Svensson, “A 10-bit 5-MS/s Successive Approximation ADC Cell Used in a 70-MS/s ADC Array in 1.2-μm CMOS,” IEEE Journal of Solid-State Circuits, vol.29, no.8, pp.866–872, August 1994.

    Google Scholar 

  61. Y. Suzuki, K. Odagawa, and T. Abe, “Clocked CMOS Capacitor Circuitry,” IEEE Journal of Solid-State Circuits, vol.8, no.6, pp.462–469, December 1973.

    Google Scholar 

  62. G. Promitzer, “12-bit Low-Power Fully Differential Switched Capacitor Noncalibrating Successive Approximation ADC with 1MS/s,” IEEE Journal of Solid-State Circuits, vol.36, no.7, pp.1138–1143, July 2001.

    Google Scholar 

  63. G. D. Forney, “Maximum Likelihood Sequence Estimation of Digital Sequences in the Presence of Intersymbol Interference,” IEEE Transactions on Information Theory, vol.18, pp.363–378, May 1972.

    Google Scholar 

  64. H. Meyr and G. Ascheid, Synchronization in Digital Communications, Volume 1: Phase-Frequency-Locked Loops and Amplitude Control. New York: Wiley Interscience, 1990.

    Google Scholar 

  65. M. Win, G. Chrisikos, and N. Sollenberger, “Performance of Rake Reception in Dense Multipath Channels: Implications of Spreading Bandwidth and Selection Diversity Order,” IEEE Journal on Selected Areas in Communications, vol.18, pp.1516–1525, August 2000.

    Google Scholar 

  66. M. Win, “Effects of Chip Rate on Selective Rake Combining,” IEEE Communication Letters, vol.4, pp.233–235, July 2000.

    Google Scholar 

  67. M. Z. Win and J. H. Winters, “Analysis of Hybrid Selection/Maximal-Ratio Combining in Rayleigh Fading,” in Proceedings of the IEEE International Conference on Communications, June 1999.

    Google Scholar 

  68. M. Z. Win, “Analysis of Hybrid Selection/Maximal-Ratio Combining of DiversityBranches with Unequeal SNR in Rayleigh Fading,” in Proceedings of the 49th Annual International Vehicular Technology Conference, May 1999.

    Google Scholar 

  69. V. Lottici, A. D’Andrea, and U. Mengali, “Channel Estimation for Ultra-Wideband Communications,” IEEE Journal on Selected Areas in Communications, vol.20, pp.1638–1645, December 2002.

    Google Scholar 

  70. S. Honda, M.Ito, H.Seki, and Y.Jingo, “A Disc Monopole Antenna with 1:8 Impedance Bandwidth and Omnidirectional Radiation Pattern,” in Proceedings of the International Symposium on Antennas and Propagation, pp.1145–1148, September 1992.

    Google Scholar 

  71. M. Hammoud, “Matching the Input Impedance of a Broadband Disc Monopole,” in Electronics Letters, vol.29, pp.406–407, February 1993.

    Google Scholar 

  72. N. P. Agrawall, G.Kumar, and K.P. Ray, “Wideband Planar Monopole Antennas,” in IEEE Transactions on Antennas and Propagation, 1998.

    Google Scholar 

  73. G. Kumar and K.P. Ray, Broadband Microstrip Antennas. Boston: Artech House, 2003.

    Google Scholar 

  74. E. R. Green and B.Manny, “Ultra-Wideband: A Disruptive RF Technology,” in Intel Developer Conference, 2002.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2008 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Lee, F.S., Blázquez, R., Ginsburg, B.P., Powell, J.D., Wentzloff, D.D., Chandrakasan, A.P. (2008). Pulse-Based, 100 Mbps UWB Transceiver. In: Gharpurey, R., Kinget, P. (eds) Ultra Wideband. Series on Integrated Circuits and Systems. Springer, Boston, MA. https://doi.org/10.1007/978-0-387-69278-4_5

Download citation

  • DOI: https://doi.org/10.1007/978-0-387-69278-4_5

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-37238-9

  • Online ISBN: 978-0-387-69278-4

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics