Skip to main content

MEMS Wet-Etch Processes and Procedures

  • Chapter
  • First Online:

Part of the book series: MEMS Reference Shelf ((MEMSRS,volume 1))

Abstract

Wet chemical etching through openings in photoresist or hard masks underlies many process sequences for MEMS device fabrication. This chapter presents more than 800 wet-etch recipes for over 400 varieties and combinations of substrates and deposited thin films, with emphasis on processes that use laboratory chemicals often found in university and industrial cleanrooms. Over 600 citations serve as additional resources for selecting or developing etchants suitable for processing MEMS devices. Nearly 40 tables, organized internally by material then by etch components, allow quick location and comparisons among recipes. Abbreviations for target materials and etch components are standardized to aid in comparisons. Etch rates and etch selectivities over other materials are given where available. While emphasizing silicon and other popular materials in the MEMS field, III-V compounds and more exotic materials are also presented.

Topics addressed include wet-etch principles and procedures; process architectures that incorporate wet-etch sequences; evaluation and development of wet-etch procedures and equipment with emphasis on safety and an anticipation of foundry transfer; oxide, nitride, silicon, polysilicon, and germanium isotropic etching; standard metal etching; nonstandard dielectric, semiconductor and metal etching; photoresist removal and wafer cleaning sequences; silicide etching; plastic and polymer etching; anisotropic silicon etching; bulk silicon and silicon–germanium etch stops; electrochemical etching and etch stops; photoassisted etching and etch stops; thin-film etch stops; sacrificial layer removal; porous silicon formation; layer delineation for failure analysis; and defect determination. Practical examples offer some of the finer nuances in the processes and procedures related to wet chemical etching. This chapter provides a practical and valuable guide for device designers and process developers to select or develop an etch for many types of MEMS and integrated MEMS devices.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   249.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD   319.00
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. S.A. Campbell, H.J. Lewerenz (Eds.): Semiconductor Micromachining, Vol. 1, Fundamental Electrochemistry and Physics (Wiley, Chichester, 1998)

    Google Scholar 

  2. S.A. Campbell, H.J. Lewerenz (Eds.): Semiconductor Micromachining, Vol. 2, Techniques and Industrial Applications (Wiley, Chichester, 1998)

    Google Scholar 

  3. A.R. Clawson: Guide to references on III–V semiconductor etching, Mater. Sci. Eng. 31, 1–438 (2001)

    Article  Google Scholar 

  4. M. Elwenspoek, H.V. Jansen: Silicon Micromachining, Chapters 2, 7 (Cambridge University Press, Cambridge, 1998)

    Google Scholar 

  5. M. Gad-el-Hak (Ed.): MEMS: Design and Fabrication, Chapter 3 (CRC Press, Boca Raton, FL, 2006)

    Google Scholar 

  6. W.F. Gale, T.C. Totemeier (Eds.): Smithells Metals Reference Book, 8th edn (Elsevier, Amsterdam, 2004)

    Google Scholar 

  7. H. Geng (Ed.): Semiconductor Manufacturing Handbook, Chapter 11 (McGraw-Hill, New York, NY, 2005)

    Google Scholar 

  8. S.K. Ghandhi: VLSI Fabrication Principles – Silicon and Gallium Arsenide, 2nd edn (Wiley, New York, NY, 1994)

    Google Scholar 

  9. C.A. Hampel (Ed.): Rare Metals Handbook, 2nd edn (Krieger, Huntington, NY, 1971)

    Google Scholar 

  10. C.A. Harper (Ed.): Handbook of Materials and Processes for Electronics, Chapter 14 (McGraw-Hill, New York, NY, 1970)

    Google Scholar 

  11. K.R. Williams (Ed.): Etching, In R. Hull (Ed.): Properties of Crystalline Silicon, Chapter 16 (INSPEC, London, 1999)

    Google Scholar 

  12. W.H. Kohl: Handbook of Materials and Techniques for Vacuum Devices (American Institute of Physics, New York, NY, 1995, originally published 1967)

    Google Scholar 

  13. M. Kohler: Etching in Microsystem Technology (Wiley-VCH, Weinheim, 1999)

    Book  Google Scholar 

  14. G.T.A. Kovacs: Micromachined Transducers Sourcebook, Chapter 2 (McGraw-Hill, Boston, MA, 1998)

    Google Scholar 

  15. M.J. Madou: Fundamentals of Microfabrication: The Science of Miniaturization, 2nd edn (CRC Press, Boca Raton, FL, 2002)

    Google Scholar 

  16. L.I. Maissel, R. Glang (Eds.): Handbook of Thin Film Technology, Chapter 7 (McGraw-Hill, New York, NY, 1970)

    Google Scholar 

  17. Y. Nishi, R. Doering: Handbook of Semiconductor Manufacturing Technology, Chapter 8 (Marcel Dekker, New York, NY, 2000)

    Google Scholar 

  18. T. Ohmi (Ed.): Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing (CRC Press, Boca Raton, FL, 2006)

    Google Scholar 

  19. P. Rai-Choudhury (Ed.): Handbook of Microlithography, Micromachining and Microfabrication, Vol. 2: Micromachining and Microfabrication, Chapter 2 (SPIE Press, Bellingham, WA, 1997)

    Google Scholar 

  20. K.A. Reinhardt, W. Kern (Eds.): Handbook of Silicon Wafer Cleaning Technology, 2nd edn (William Andrew, Norwich, NY, 2008)

    Google Scholar 

  21. G.V. Samsonov, I. M. Vinitskii: Handbook of Refractory Compounds, Chapter 6 (Plenum, New York, NY, 1980)

    Book  Google Scholar 

  22. S.M. Sze: Semiconductor Devices, Physics and Technology, Chapter 11 (Wiley, New York, NY, 1985)

    Google Scholar 

  23. S.M. Sze: Semiconductor Devices, Physics and Technology, 2nd edn, Chapter 12 (Wiley, New York, NY, 2002)

    Google Scholar 

  24. G.F. Vander Voort (Ed.): Metallography, Principles and Practice, Appendix G and others (McGraw-Hill, New York, NY, 1984)

    Google Scholar 

  25. J.L. Vossen, W. Kern (Eds.): Thin Film Processes, Chapter V-1 (Academic, New York, NY, 1978)

    Google Scholar 

  26. P. Walker, W. H. Tarn (Eds.): CRC Handbook of Metal Etchants (CRC Press, Boca Raton, FL, 1991)

    Google Scholar 

  27. K.R. Williams, R.S. Muller: Etch rates for micromachining processing, J. Micromech. Syst. 5(4), 256–269 (1996)

    Article  Google Scholar 

  28. K.R. Williams, K. Gupta, M. Wasilik: Etch rates for micromachining processing – Part II, J. Micromech. Syst. 12(6), 761–778 (2003)

    Article  Google Scholar 

  29. R. Williams: Modern GaAs Processing Methods, Chapter 5 (Artech House, Boston, MA, 1990)

    Google Scholar 

  30. S. Wolf, R.N. Tauber: Silicon Processing for the VLSI Era, Volume 1: Process Technology, Chapter 15 (Lattice Press, Sunset Beach, CA, 1986)

    Google Scholar 

  31. Facilities that may offer suitable foundry services for MEMS devices include: Colibrys (http://www.colibrys.com/, accessed December 24, 2010); Dalsa (http://www.dalsa.com/semi/technology/mems.aspx, accessed December 24, 2010); ELMOS SMI (http://www.si-micro.com/, accessed December 24, 2010); IMT (http://www.imtmems.com/, accessed December 24, 2010); MEMS and Nanotechnology Exchange (http://www.mems-exchange.org, accessed December 24, 2010); MemsTech (http://www.memstech.com/, accessed December 24, 2010); Micralyne (http://www.micralyne.com/, accessed December 24, 2010); Sandia National Laboratories (http://mems.sandia.gov/about/fabrication.html, accessed December 24, 2010); Silex (http://www.silexmicrosystems.com/, accessed December 24, 2010); MEMSCap (http://www.semiconductor-technology.com/projects/memscap/, accessed December 24, 2010); Sony Semiconductor Kyushu (http://www.sony.net/Products/SC-HP/memswld/index.html, accessed December 24, 2010); SVTC (http://www.svtc.com/, accessed December 24, 2010); Tanner (http://www.tanner.com/Labs/mems/, accessed December 24, 2010); Tronics (http://www.tronicsmems.com/, accessed December 24, 2010); and others.

  32. E.J.J. Kruglick, A.L. Cohen, C.A. Bang: EFAB™ Technology and Applications, In M. Gad-el-Hak (Ed.), MEMS: Design and Fabrication, Chapter 6 (CRC Press, Boca Raton, FL, 2006)

    Google Scholar 

  33. K.A. Reinhardt, W. Kern (Eds.): Handbook of Silicon Wafer Cleaning Technology, 2nd edn., Chapter 2 (William Andrew, Norwich, NY, 2008)

    Google Scholar 

  34. Nanometrics, Inc.: http://www.nanometrics.com, accessed December 24, 2010

  35. KLA-Tencor: http://www.kla-tencor.com, accessed December 24, 2010

  36. Veeco Instruments: http://www.veeco.com, accessed December 24, 2010

  37. Mitutoyo, Inc.: http://www.mitutoyo.com, accessed December 24, 2010

  38. National Nanotechnology Infrastructure Network: http://www.nnin.org/nnin_overview.html, accessed December 24, 2010

  39. See for example, MEMS and Nanotechnology Exchange, http://www.mems-exchange.org, accessed December 24, 2010

  40. Transene Company, Inc., Danvers, MA 01923: Electronic Grade Chemicals, http://www.transene.com/elec_grade.html, accessed December 24, 2010

  41. W.R. Runyan, K.E. Bean: Semiconductor Integrated Circuit Processing Technology, Chapter 6 (Addison-Wesley, Reading, MA, 1990)

    Google Scholar 

  42. H. Kikyuama, N. Miki, K. Saka, J. Takano, I. Kawanabe, M. Miyashita, T. Ohmi: Principles of wet chemical processing in ULSI microfabrication, IEEE Trans. Semicond. Manuf. 4(1), 26–35 (1991)

    Article  Google Scholar 

  43. J. Buhler, F.-P. Steiner, H. Baltes: Silicon dioxide sacrificial layer etching in surface micromachining, J. Micromech. Microeng. 7, R1–R13 (1997)

    Article  Google Scholar 

  44. See, for example, Cyantek Corp. (http://www.cyantek.com, accessed December 24, 2010); J.T. Baker (http://www.mallbaker.com, accessed December 24, 2010); Sigma-Aldrich, Inc. (http://www.sigmaaldrich.com, accessed December 24, 2010); and Transene Company, Inc. (http://www.transene.com, accessed December 24, 2010)

  45. F. Beck: Integrated Circuit Failure Analysis, A Guide to Preparation Techniques (Wiley, Chichester, 1998)

    Google Scholar 

  46. M. Wong, M.M. Moslehi, R.A. Bowling: Wafer Temperature dependence of the vapor-phase HF oxide etch, J. Electrochem. Soc. 140(1), 205–208 (1993)

    Article  Google Scholar 

  47. D.J. Monk, D.S. Soane, R.T. Howe: Determination of the etching kinetics for the hydrofluoric acid/silicon dioxide system, J. Electrochem. Soc. 140(8), 2339–2346 (1993)

    Article  Google Scholar 

  48. W.P. Eaton, R.L. Jarecki, J.H. Smith: Prediction of Release-Etch Times for Surface-Micromachined Structures, 1997 Interational Conference on Solid-State Sensors and Actuators, Transducers ’97, pp. 249–252 (Chicago, IL, June 16–19, 1997)

    Google Scholar 

  49. R.A. Haken, I.M. Baker, J.D.E. Beynon: An Investigation into the dependence of the chemically-etched edge profiles of silicon dioxide films on etchant concentration and temperature, Thin Solid Films 18(1), S3–S6 (1973)

    Article  Google Scholar 

  50. K.S. Lebouitz, R.T. Howe, A.P. Pisano: Permeable Polysilicon Etch-Access Windows for Microshell Fabrication, 1995 International Conference on Solid-State Sensors and Actuators, Transducers ’95, pp. 224–227 (Stockholm, Sweden, June 25–29, 1995)

    Google Scholar 

  51. Stanford Nanofabrication Facility: Wet Etch Rates for Various Non-Metal Films, http://snf.stanford.edu/Process/WetProcessing/WetER1.html, accessed December 24, 2010

  52. J.T. Moore, D.L. Chapek: Trench Isolation for Semiconductor Devices, U.S. Patent No. 7.245,856, 2007

    Google Scholar 

  53. G.F. Vander Voort: Metallography, Principles and Practice (McGraw-Hill, New York, NY, 1984, reprinted 1999, Appendix G)

    Google Scholar 

  54. F.S. Becker, S. Rohl: Low pressure deposition of doped SiO2 by pyrolysis of tetraethylorthosilicate (TEOS), J. Electrochem. Soc. 134(11) 2923–2931 (1987)

    Article  Google Scholar 

  55. Transene Company, Inc., Danvers, MA 01923: Silicon Dioxide (SiO2) Etchants, http://www.transene.com/sio2.html, accessed December 24, 2010

  56. T.J. Cotler, J. Chapple-Sokol: High quality plasma-enhanced chemical vapor deposited silicon nitride films, J. Electrochem. Soc. 140(7), 2071–2075 (1993)

    Article  Google Scholar 

  57. L.I. Maissel, R. Glang (Eds.): Handbook of Thin Film Technology (McGraw-Hill, New York, NY, 1970)

    Google Scholar 

  58. Transene Company, Inc., Danvers, MA 01923: http://www.transene.com/al2o3.html, accessed December 24, 2010

  59. M. Reiche, G. Wagner: Wafer Thinning: Techniques for Ultra-Thin Wafers, Advanced Packaging, March 2003, http://ap.pennnet.com/Articles/Article_Display.cfm?Section=Articles&Subsection=Display&ARTICLE_ID=169369, accessed December 24, 2010

  60. H. Robbins, B. Schwartz: Chemical etching of silicon I. The system HF, HNO3, H2O, and HC2C3O2, J. Electrochem. Soc. 106(6), 505–508 (1959)

    Article  Google Scholar 

  61. H. Robbins, B. Schwartz: Chemical etching of silicon II. The system HF, HNO3, H2O, and HC2C3O2, J. Electrochem. Soc. 107(2), 108–111 (1960)

    Article  Google Scholar 

  62. B. Schwartz, H. Robbins: Chemical etching of silicon IV. Etching technology, J. Electrochem. Soc. 123(12), 1903–1909 (1976)

    Article  Google Scholar 

  63. T.-J. King, R.T. Howe, S. Sedky, G. Liu, B.C.-Y. Lin, M. Wasilik, C. Duenn: Recent Progress in Modularly Integrated MEMS Technologies, International Electron Devices Meeting, pp. 199–202 (San Francisco, CA, December 8–11, 2002)

    Google Scholar 

  64. P.R. Camp: A study of the etching rate of single-crystal germanium, J. Electrochem. Soc. 102(10), 586–593 (1955)

    Article  Google Scholar 

  65. S. Kagawa, T. Mikawa, T. Kaneda: Chemical etching of germanium with H3PO4-H2O2-H2O solution, Jpn. J. Appl. Phys. 21(11), 1616–1618 (1982)

    Article  Google Scholar 

  66. J.L. Vossen, W. Kern, Eds.: Thin Film Processes, Chapter V-1, Table IV (Academic, New York, NY, 1978)

    Google Scholar 

  67. J. Bloem, J.C. van Vessem: Etching Ge with mixtures of HF-H2O2-H2O, J. Electrochem. Soc. 109(1), 33–36 (1962)

    Article  Google Scholar 

  68. B. Schwartz, H. Robbins: Chemical etching of germanium in solutions of HF, HNO3, H2O, and HC2H3O2, J. Electrochem. Soc. 111(2), 196–201 (1960)

    Article  Google Scholar 

  69. G.R. Booker, R. Stickler: Method of preparing Si and Ge specimens for examination by transmission electron microscopy, Brit. J. Appl. Phys. 13, 446–448 (1962)

    Article  Google Scholar 

  70. B. Tuck: The chemical polishing of semiconductors, J. Mater. Sci. 10, 321–339 (1975)

    Article  Google Scholar 

  71. S.K. Ghandhi, J.E. Ayers: Chemical etching of germanium, J. Electrochem. Soc. 135(8), 2053–2054 (1988)

    Article  Google Scholar 

  72. C.A. Hampel (Ed.): Rare Metals Handbook, 2nd edn., Chapter 11 (Krieger, Huntington, NY, 1971)

    Google Scholar 

  73. D.P. Brunco et al.: Germanium MOSFET devices: Advances in materials understanding, process development, and electrical performance, J. Electrochem. Soc. 155(7), H552–H561 (2008)

    Article  Google Scholar 

  74. Transene Company, Inc., Danvers, MA 01923: Reagent Semiconductor Etchants, http://www.transene.com/si.html, accessed December 24, 2010; see also http://www.transene.com/etchants.html, accessed December 24, 2010

  75. X.-Q. Wang, X. Yang, K. Walsh, Y.-C. Tai: Gas-Phase Silicon Etching with Bromine Trifluoride, 1997 International Conference on Solid-State Sensors and Actuators, Transducers ’97, pp. 1505–1508 (Chicago, IL, June 16–19, 1997)

    Google Scholar 

  76. T. Mills: Precision VLSI Cross Sectioning and Staining, Proceedings of 21st Annual Reliability Physics Symposium, pp. 324–331 (Phoenix, AZ, April 5–7, 1983)

    Google Scholar 

  77. J. Vossen, W. Kern (Eds.): Thin Film Processes, Chapter V-1, Table III (Academic, New York, NY, 1978)

    Google Scholar 

  78. G. Jia, M.J. Madou: MEMS Fabrication, in The MEMS Handbook, MEMS Design and Fabrication, 2nd edn., vol. 2, Chapter 3 (M. Gad-el-Hak (Ed.), CRC/Taylor and Francis, Boca Raton, FL, 2006)

    Google Scholar 

  79. M.M. Hussain, N. Moumen, Z. Zhang, B.F. Womack: Metal wet etch issues and effects in dual metal gate stack integration, J. Electrochem. Soc. 153(5), G389–G393 (2006)

    Article  Google Scholar 

  80. L.J. Stinson: Sulfur hexafluoride etching effects in silicon, J. Electrochem. Soc. 123(4), 551–555 (1976)

    Article  Google Scholar 

  81. XACTIX, Inc., Pittsburgh, PA: Unique Capabilities of Xenon Difluoride for Releasing MEMS, http://www.xactix.com/XeF2_Unique.pdf, accessed December 24, 2010

  82. R.J. Bicchieri: Standard Operating Procedure for XeF2 Etcher, Aug. 2007, http://www-mtl.mit.edu/services/fabrication/sops/xef2.pdf, accessed December 24, 2010

  83. Transene Company, Inc., Danvers, MA 01923: Reagent Semiconductor Etchants, http://www.transene.com/si.html, accessed December 24, 2010

  84. K.D. Hobart, F.J. Kub, G.G. Jernigan, M.E. Twigg, P.E. Thompson: Fabrication of SOI substrates with ultra-thin si layers, Electron. Lett. 34(12), 1265–1267 (1998)

    Article  Google Scholar 

  85. T.K. Carns, M.O. Tanner, K.L. Wang: Chemical etching of Si1-xGex in HF:H2O2:CH3COOH, J. Electrochem. Soc. 142(4), 1260–1266 (1995)

    Article  Google Scholar 

  86. A.H. Krist, D.J. Godbey, Green: Selective removal of a Si0.7Ge0.3 layer from Si(100), Appl. Phys. Lett. 58(17), 1899–1901 (1991)

    Article  Google Scholar 

  87. D.J. Godbey, A.H. Krist, K.D. Hobart, M.E. Twigg: Selective removal of Si1-xGex from (100) Si using HNO3 and HF, J. Electrochem. Soc. 139(10), 2943–2947 (1992)

    Article  Google Scholar 

  88. F.S. Johnson, D.S. Miles, D.T. Grider, J.J. Wortman: Selective chemical etching of polycrystalline SiGe alloys with respect to Si and SiO2, J. Electron. Mater. 21(8), 805–810 (1992)

    Article  Google Scholar 

  89. D. Westberg, O. Paul, G.I. Andersson, H. Baltes: Surface micromachining by sacrificial aluminum etching, J. Micromech. Microeng. 6, 376–384 (1996)

    Article  Google Scholar 

  90. B.A. Ganji, B.Y. Majilis: Deposition and Etching of Diaphragm and Sacrificial Layer in Novel MEMS Capacitive Microphone Structure, IEEE International Conference on Semiconductor Electronics, ICSE 2008, pp. 232–238 (Johor Bahru, Malaysia, November 25–27, 2008)

    Google Scholar 

  91. J.J. Kelly, C.H. de Minjer: An electrochemical study of undercutting during etching of duplex metal films, J. Electrochem. Soc. 122(7), 931–936 (1975)

    Article  Google Scholar 

  92. C.A. Harper (Ed.): Handbook of Materials and Processes for Electronics, Chapter 14, Table 31, pp. 14–55 (McGraw-Hill, New York, NY, 1970)

    Google Scholar 

  93. P.T.J. Gennissen, P.J. French: Sacrificial Oxide Etching Compatible with Aluminum Metallization, 1997 International Conference on Solid-State Sensors and Actuators, Transducers ’97, pp. 225–228 (Chicago, IL, June 16–19, 1997)

    Google Scholar 

  94. Transene Company, Inc., Danvers, MA 01923: Aluminum Etchants, http://www.transene.com/aluminum.html, accessed December 24, 2010; see also Etchant/Metal Compatibility Chart, http://www.transene.com/etch_compatibility.html, accessed December 24, 2010

  95. Stanford Nanofabrication Facility: Metal Wet Bench Operating Instructions, http://snf.stanford.edu/Equipment/wbmetal/Operation.html, accessed December 24, 2010

  96. W.H. Kohl: Handbook of Materials and Techniques for Vacuum Devices, Chapter 12 (American Institute of Physics, New York, NY, 1995, originally published 1967)

    Google Scholar 

  97. R.A. Levy (Ed.): Microelectronic Materials and Processes, Chapter 7 (Springer, New York, NY, 1989)

    Google Scholar 

  98. W.E. Beadle, J.C.C. Tsai, R.D. Plummer (Eds.): Quick Reference Manual for Silicon Integrated Circuit Technology, Chapter 5 (Wiley, New York, NY, 1985)

    Google Scholar 

  99. C.A. Hampel (Ed.): Rare Metals Handbook, 2nd edn., Chapter 29 (Krieger, Huntington, NY, 1971)

    Google Scholar 

  100. J.L. Vossen, W. Kern (Eds.): Thin Film Processes, Chapter V-1, Table XIV (Academic, New York, NY, 1978)

    Google Scholar 

  101. A.E. Morgan, E.K. Broadbent, M. Delfino, B. Coulman, D.K. Sadana: Characterization of a self-aligned cobalt silicide process, J. Electrochem. Soc. 134(4), 925–935 (1987)

    Article  Google Scholar 

  102. Transene Company, Inc., Danvers, MA 01923: Titanium Etchants, http://www.transene.com/ti.html, accessed December 24, 2010; see also http://www.transene.com/etch_compatibility.html, accessed December 24, 2010

  103. Transene Company, Inc., Danvers, MA 01923: Ti-Tungsten TiW-30, http://www.transene.com/tiw.html, accessed December 24, 2010; see also http://www.transene.com/etch_compatibility.html, accessed December 24, 2010

  104. W.H. Kohl: Handbook of Materials and Techniques for Vacuum Devices, Chapter 9 (American Institute of Physics, New York, NY, 1995, originally published 1967)

    Google Scholar 

  105. C.A. Hampel (Ed.): Rare Metals Handbook, 2nd edn., Chapter 30 (Krieger, Huntington, NY, 1971)

    Google Scholar 

  106. Transene Company, Inc., Danvers, MA 01923: Tungsten Etchant – TWF, http://www.transene.com/mo.html, accessed December 24, 2010; see also http://www.transene.com/etch_compatibility.html, accessed December 24, 2010

  107. Stanford Nanofabrication Facility: Tweezer Cleaning Procedures, http://snf.stanford.edu/Process/WetProcessing/TweezerCln.html, accessed December 24, 2010

  108. UCSB Nanofabrication Facility: Organic Removal, http://www.nanotech.ucsb.edu/index.php?option=com_content&view=article&id=163, accessed December 24, 2010

  109. K.A. Reinhardt, W. Kern (Eds.): Handbook of Silicon Wafer Cleaning Technology, 2nd edn., Chapter 4 (William Andrew, Norwich, NY, 2008)

    Google Scholar 

  110. MEMS and Nanotechnology Exchange: Photoresist Strip (O2 plasma), http://www.mems-exchange.org/catalog/P3704, accessed December 24, 2010

  111. Washington Technology Center: Chemical Use Standard Operating Procedure Revision E, http://www.watechcenter.org/downloads/Chemical_Use.pdf, accessed December 24, 2010; see also Eric Miller, Solvent Strip Operating Procedure, Rev. 1.2, http://www.watechcenter.org/downloads/Solvent_Strip_Operation_Procedure_1_1.pdf, accessed December 24, 2010

  112. UCSB Nanofabrication Facility: Standard Pre-Lithography Cleaning Process, http://www.nanotech.ucsb.edu/index.php?option=com_content&view=article&id=160, accessed December 24, 2010

  113. Personal communication: David W. Burns (January, 2009)

    Google Scholar 

  114. Microelectronics Research Center, Georgia Institute of Technology: Wet Resist Stripping, http://grover.mirc.gatech.edu/processing/processmenu.php?m=p&l=4&s=4, accessed December 24, 2010

  115. Microelectronics Research Center, Georgia Institute of Technology: Simple Clean, http://grover.mirc.gatech.edu/processing/processmenu.php?m=p&l=3&s=2, accessed December 24, 2010

  116. UCSB Nanofabrication Facility, UC Santa Barbara: Particulate Removal, http://www.nanotech.ucsb.edu/index.php?option=com_content&view=article&id=162, accessed December 24, 2010

  117. W. Kern, D.A. Puotinen: Cleaning solutions based on hydrogen peroxide for use in semiconductor technology, RCA Rev. 31(2), 187–206 (1970)

    Google Scholar 

  118. C.Y. Chang, S.M. Sze (Eds.): ULSI Technology, Chapter 2 (McGraw-Hill, New York, NY, 1996)

    Google Scholar 

  119. W. Kern (Ed.): Handbook of Semiconductor Wafer Cleaning Technology (Noyes, Park Ridge, NJ, 1993)

    Google Scholar 

  120. G. Ouimet, D.L. Rath, S.L. Cohen, E.E. Fisch, G.W. Gale: Defect reduction and cost savings through re-inventing RCA cleans, Advanced Semiconductor Manufacturing Conference and Workshop, 12–14, pp. 308–313 (Cambridge, MA, Nov. 12–14, 1996)

    Google Scholar 

  121. Virginia Semiconductor, Inc.: Wet-Chemical Etching and Cleaning of Silicon, http://www.virginiasemi.com/pdf/siliconetchingandcleaning.pdf, accessed December 24, 2010

  122. Stanford Nanofabrication Facility: Wet Bench Diffusion, wbdiff, http://https://snf.stanford.edu/SNF/equipment/wet-benches/wet-bench-diffusion/Wet%20Bench%20Diffusion, accessed December 24, 2010

  123. M. Bartur, M.-A. Nicolet: Thermal oxidation of transition metal silicides on Si: Summary, J. Electrochem. Soc. 131(2), 371–375 (1984)

    Article  Google Scholar 

  124. H. Jiang, C.S. Petersson, M.-A. Nicolet: Thermal oxidation of transition metal silicides, Thin Solid Films 140, 115–129 (1986)

    Article  Google Scholar 

  125. S.P. Murarka: Refractory silicides for integrated circuits, J. Vac. Sci. Technol. 17(4), 775–792 (1980)

    Article  Google Scholar 

  126. T.P. Chow, A.J. Steckl: Refractory metal silicides: Thin-film properties and processing technology, IEEE Trans. Electron Dev. ED-30(11), 1480–1497 (1983)

    Article  Google Scholar 

  127. S.P. Murarka: Silicides for VLSI Applications (Academic, New York, NY, 1983)

    Google Scholar 

  128. P. Rosser, G. Tomkins: Silicides for VLSI interconnects, Vacuum 35(10–11), 419–434 (1985)

    Article  Google Scholar 

  129. H.C. Gatos, M.C. Lavine: Characteristics of the {111} surfaces of the III-V intermetallic compounds, J. Electrochem. Soc. 107(5), 427–433 (1960)

    Article  Google Scholar 

  130. J. Fastenau, E. Ozbay, G. Tuttle, F. Laabs: Epitaxial lift-off of thin InAs layers, J. Electron. Mater. 24(6), 757–760 (1995)

    Article  Google Scholar 

  131. A.F. Morpurgo, B.J. van Wees, T.M. Klapwijk, G. Borghs: Submicron processing of InAs based quantum wells: A new, highly selective wet etchant for AlSb, Appl. Phys. Lett. 70(11), 1435–1437 (1997)

    Article  Google Scholar 

  132. A. Herczog, R.R. Haberecht, A.E. Middleton: Preparation and properties of aluminum antimonide, J. Electrochem. Soc. 105(9), 533–540 (1958)

    Article  Google Scholar 

  133. C. Gatzke, S.J. Webb, K. Fobelets, R. . Stradling: In situ Raman spectroscopy of the selective etching of antimonides in GaSb/AlSb/InAs heterostructures, Semicond. Sci. Technol. 13, 399–403 (1998)

    Article  Google Scholar 

  134. E. Yablonovitch, T. Gmitter, J.P. Harbison, R. Bhat: Extreme selectivity in the lift-off of epitaxial GaAs films, Appl. Phys. Lett. 51(26), 2222–2224 (1987)

    Article  Google Scholar 

  135. J.-H. Kim, D.H. Lim, G.M. Yang: Selective etching of AlGaAs/GaAs structures using the solutions of citric acid/H2O2 and de-ionized H2O/buffered oxide etch, J. Vac. Sci. Technol. B 16(2), 558–560 (1998)

    Article  Google Scholar 

  136. L.H. Guan, M.S. Jusoh, A. Dolah, A. Yusof, M.R. Yahya, B.Y. Majlis: The Study of Etching Selectivity between InGaAs and AlGaAs in Acid Based Etching Solution, ICSE 2004 Proceedings, pp. 423–426 (Kuala Lumpur, December 7–9, 2004)

    Google Scholar 

  137. T. Wipiejewski, K.J. Ebeling: In situ controlled wet chemical etching of layered AlGaAs structures with interferometric accuracy, J. Electrochem. Soc. 140(7), 2028–2033 (1993)

    Article  Google Scholar 

  138. A.G. Baca, C.I.H. Ashby (Eds.): Fabrication of GaAs Devices, Chapter 4 (Institution of Electrical Engineers, London, 2005)

    Google Scholar 

  139. T.R. Stewart, D.P. Bour: Chemical etching of (AlxGa1-x)0.5In0.5P using sulfuric and hydrochloric acids, J. Electrochem. Soc. 139(4), 1217–1219 (1992)

    Article  Google Scholar 

  140. J.W. Lee, C.J. Santana, C.R. Abernathy, S.J. Pearton, F. Ren: Wet chemical etch solutions for AlxGa1-xP, J. Electrochem. Soc. 143(1), L1–L3 (1996)

    Article  Google Scholar 

  141. J.W. Lee, C.J. Santana, C.R. Abernathy, S.J. Pearton: Investigation of Wet Chemical Etching of InGaAlP Alloys, Proceedings of the Symposium on Wide Bandgap Semiconductors and Devices, pp. 316–326 (Chicago, IL, October 8–13, 1995)

    Google Scholar 

  142. C. Yi, T.-H. Kim, A.S. Brown: InP-based AlInAs/GaAs0.51Sb0.49/GaInAs single heterojunction bipolar transistor for high-speed and RF wireless applications, J. Electron. Mater. 31(2), 95–98 (2002)

    Article  Google Scholar 

  143. C.B. Vartuli, S.J. Pearton, J.W. Lee, C.R. Abernathy, J.D. Mackenzie, J.C. Zolper, R.J. Shul: Wet chemical etching of AlN and InAlN in KOH solutions, J. Electrochem. Soc. 143(11), 3681–3684 (1996)

    Article  Google Scholar 

  144. J.R. Lothian, J.M. Kuo, W.S. Hobson: Wet and dry etching characteristics of Al0.5In0.5P, J. Vac. Sci. Technol. B 10(3), 1061–1065 (1992)

    Article  Google Scholar 

  145. D. Zhuang, J.H. Edgar: Wet etching of GaN, AlN, and SiC – A review, Mater. Sci. Eng. Rep. 48(1), 1–46 (2005)

    Article  Google Scholar 

  146. J.R. Mileham, S.J. Pearton, C.R. Abernathy, J.D. MacKenzie, R.J. Shul, S.P. Kilcoyne: Wet chemical etching of AlN, Appl. Phys. Lett. 67(8), 1119–1121 (1995)

    Article  Google Scholar 

  147. N. Setter (Ed.): Electroceramic-Based MEMS: Fabrication-Technology and Applications, Chapter 13 (Springer, New York, NY, 2005)

    Google Scholar 

  148. K.M. Taylor, C. Lenie: Some properties of aluminum nitride, J. Electrochem. Soc. 107(4), 308–314 (1960)

    Article  Google Scholar 

  149. T.L. Chu, R.W. Kelm, Jr.: The preparation and properties of aluminum nitride films, J. Electrochem. Soc. 122(7), 995–1000 (1975)

    Article  Google Scholar 

  150. A. Reisman, M. Berkenblit, S. Zirinsky, S.A. Chan: The etching of crystallographically determined orifices in sapphire, J. Electrochem. Soc. 126(6), 1004–1008 (1979)

    Article  Google Scholar 

  151. A. Reisman, M. Berkenblit, J. Cuomo, S.A. Chan: The chemical polishing of sapphire and MgAl spinel, J. Electrochem. Soc. 118(10), 1653–1657 (1971)

    Article  Google Scholar 

  152. B. Zhou, W.F. Ramirez: Kinetics and modeling of wet etching of aluminum oxide by warm phosphoric acid, J. Electrochem. Soc. 143(2), 619–623 (1996)

    Article  Google Scholar 

  153. P.J. Tsang, R.M. Anderson, S. Cvikevich: Amorphous CVD Al2O3 films by Ta-doping and their physicochemical properties, J. Electrochem. Soc. 123(1), 57–63 (1976)

    Article  Google Scholar 

  154. L.I. Berger: Semiconductor Materials, Chapter 4 (CRC Press, Boca Raton, FL, 1997)

    Google Scholar 

  155. G.F. Vander Voort (Ed.): Metallography, Principles and Practice, Appendix I (McGraw-Hill, New York, NY, 1984)

    Google Scholar 

  156. H. Cordes, K. Kim: Self-diffusion in antimony, J. Appl. Phys. 37(4), 2181 (1966)

    Article  Google Scholar 

  157. H.B. Huntington, P.G. Ghate, J.H. Rosolowski: Self-diffusion in antimony, J. Appl. Phys. 35(10), 3027–3032 (1964)

    Article  Google Scholar 

  158. J.H. Wernick, J.N. Hobstetter, L.C. Lovell, D. Dorsi: Dislocation etch pits in antimony, J. Appl. Phys. 29(7), 1013–1018 (1958)

    Article  Google Scholar 

  159. M.N. Shetty, J.B. Taylor: Dislocation etch pits in arsenic, J. Appl. Phys. 39(8), 3717–3722 (1968)

    Article  Google Scholar 

  160. M.F. Ehman, S.B. Austerman: Dislocation etchant for beryllium oxide, J. Am. Ceram. Soc. 56(7), 382–384 (1973)

    Article  Google Scholar 

  161. S.B. Austerman, J.B. Newkirk, D.K. Smith, H.W. Newkirk: Etching studies of beryllium oxide crystals, J. Mater. Sci. 2(4), 378–387 (1967)

    Article  Google Scholar 

  162. C.A. Hampel (Ed.): Rare Metals Handbook, 2nd edn., Chapter 5 (Krieger, Huntington, NY, 1971)

    Google Scholar 

  163. M.J. Rand, J.F. Roberts: Preparation and properties of thin film boron nitride, J. Electrochem. Soc. 115(4), 423–429 (1968)

    Article  Google Scholar 

  164. R. Korn, G. Seyd, U. Paulsen: Cleaning Contaminated Surfaces of Nuclear Power Plants and Recovery of Removed Surface Particles, U.S. Patent. No. 3,895,465

    Google Scholar 

  165. L.M. Su, N. Grote, F. Schmitt: Diffused planar InP bipolar transistor with a cadmium oxide film emitter, Electron. Lett. 20(18), 716–717 (1984)

    Article  Google Scholar 

  166. P.K. Nair, O.G. Daza, A.A.-C. Readigos, J. Campos, M.T.S. Nair: Formation of conductive CdO layer on CdS thin films during air heating, Semicond. Sci. Technol. 16(8), 651–656 (2001)

    Article  Google Scholar 

  167. W. Wohlmuth, I. Adesida: Properties of R. F. magnetron sputtered cadmium-tin-oxide and indium-tin-oxide thin films, Thin Solid Films 479, 223–231 (2005)

    Article  Google Scholar 

  168. E.P. Warekois, M.C. Lavine, A.N. Mariano, H.C. Gatos: Crystallographic polarity in the II-VI compounds, J. Appl. Phys. 33(2), 690–696 (1962)

    Article  Google Scholar 

  169. M.V. Sullivan, W.R. Bracht: Chemical polishing of cadmium sulfide, J. Electrochem. Soc. 114(3), 295–297 (1967)

    Article  Google Scholar 

  170. J. Sarlund, M. Ritala, M. Leskela, E. Siponmaa, R. Zilliacus: Characterization of etching procedure in preparation of CdTe solar cells, Sol. Energy Mater. Sol. Cells 44, 177–190 (1996)

    Article  Google Scholar 

  171. M. Inoue, I. Teramoto, S. Takayanagi: Etch pits and polarity in CdTe crystals, J. Appl. Phys. 33(8), 2578–2582 (1962)

    Article  Google Scholar 

  172. P. Gaugash, A.G. Milnes: Etching of cadmium telluride, J. Electrochem. Soc. 128(4), 924–926 (1981)

    Article  Google Scholar 

  173. W.H. Kohl: Handbook of Materials and Techniques for Vacuum Devices, Chapter 4 (American Institute of Physics, New York, NY, 1995, originally published 1967)

    Google Scholar 

  174. J. Enlund, J. Isberg, M. Karlsson, F. Nikolajeff, J. Olsson, D.J. Twitchen: Anisotropic dry etching of boron doped single crystal CVD diamond, Carbon 43, 1839–1842 (2005)

    Article  Google Scholar 

  175. Transene Company, Inc., Danvers, MA 01923: http://www.transene.com/fto.htm, accessed January 3, 2009

  176. C.A. Hampel (Ed.): Rare Metals Handbook, 2nd edn., Chapter 10 (Krieger, Huntington, NY, 1971)

    Google Scholar 

  177. P.H. Holloway, G.E. McGuire: Handbook of Compound Semiconductors: Growth, Processing, Characterization, and Devices, Chapter 8 (Noyes, Park Ridge, NJ, 1995)

    Google Scholar 

  178. C.A. Harper (Ed.): Handbook of Materials and Processes for Electronics, Chapter 7, Table 27 (McGraw-Hill, New York, NY, 1970)

    Google Scholar 

  179. J.W. Faust Jr, A. Sagar: Effect of the polarity of the III-V intermetallic compounds on etching, J. Appl. Phys. 31(2), 331–333 (1960)

    Article  Google Scholar 

  180. S.J. Silverman: Junction delineation in GaSb by differential chemical etch rate, J. Electrochem. Soc. 109(2), 166–168 (1962)

    Article  Google Scholar 

  181. D.N. MacFadyen: On the preferential etching of GaAs by H2SO4-H2O2-H2O, J. Electrochem. Soc. 130(9), 1934–1941 (1983)

    Article  Google Scholar 

  182. D.W. Shaw: Localized GaAs etching with acidic hydrogen peroxide solutions, J. Electrochem. Soc. 128(4), 874–880 (1981)

    Article  Google Scholar 

  183. S. Iida, K. Ito: Selective etching of gallium arsenide crystals in H2SO4-H2O2-H2O system, J. Electrochem. Soc. 118(5), 768–771 (1971)

    Article  Google Scholar 

  184. C.R. Tellier, G. Huve, T.G. Leblois: Micromachining of GaAs structures with an acidic hydrogen peroxide solution: Experimental and theoretical 3D etching shapes, Sens. Actuators A 127, 179–193 (2006)

    Article  Google Scholar 

  185. Y. Mori, N. Watanabe: A new etching system, H3PO4-H2O2-H2O for GaAs and its kinetics, J. Electrochem. Soc. 125(9), 1510–1514 (1978)

    Article  Google Scholar 

  186. J.L. Merz, R.A. Logan: GaAs double heterostructure lasers fabricated by wet chemical etching, J. Appl. Phys. 47(8), 3503–3509 (1976)

    Article  Google Scholar 

  187. J.R. Flemish, K.A. Jones: Selective wet etching of GaInP, GaAs, and InP in solutions of HCl, CH3COOH, and H2O2, J. Electrochem. Soc. 140(3), 844–847 (1993)

    Article  Google Scholar 

  188. E. Biedermann, K. Brack: Preparation of GaAs specimens for transmission electron microscopy, J. Electrochem. Soc. 113(10), 1088 (1966)

    Google Scholar 

  189. T. Takebe, T. Yamamoto, M. Fujii, K Kobayashi: Fundamental selective etching characteristics of HF + H2O2 + H2O mixtures for GaAs, J. Electrochem. Soc. 140(4), 1169–1180 (1993)

    Article  Google Scholar 

  190. J.L. Richards, A.J. Crocker: Etch pits in gallium arsenide, J. Appl. Phys. 31, 611–612 (1960)

    Article  Google Scholar 

  191. S. Adache, K. Oe: Chemical etching characteristics of (001) GaAs, J. Electrochem. Soc. 130(12), 2427–2435 (1983)

    Article  Google Scholar 

  192. J.M. O’Connor, E.F. Dvorsky, H.S. Hier, W.P. Reif: Rapid controlled thinning of gallium arsenide, J. Electrochem. Soc. 135(1), 190–193 (1988)

    Article  Google Scholar 

  193. T.H. Yeh, A.E. Blakeslee: Junction delineation in gallium arsenide, J. Electrochem. Soc. 110(9), 1018–1019 (1963)

    Article  Google Scholar 

  194. K. Kenefick: Selective etching characteristics of peroxide/ammonium hydroxide solutions for GaAs/Al0.16Ga0.84As, J. Electrochem. Soc. 129(10), 2380–2382 (1982)

    Article  Google Scholar 

  195. J.C. Dyment, G.A. Rozgonyi: Evaluation of a new polish for gallium arsenide using a peroxide-alkaline solution, J. Electrochem. Soc. 118(8), 1346–1350 (1971)

    Article  Google Scholar 

  196. Y. Uenishi, H. Tanaka, H. Ukita: Characterization of AlGaAs microstructure fabricated by AlGaAs/GaAs micromachining, IEEE Trans. Electron Dev. 41(10), 1778–1783 (1994)

    Article  Google Scholar 

  197. J.J. Gannon, C.J. Nuese: A chemical etchant for the selective removal of GaAs through SiO2 masks, J. Electrochem. Soc. 121(9), 1215–1219 (1974)

    Article  Google Scholar 

  198. S.H. Jones, D.K. Walker: Highly anisotropic wet chemical etching of GaAs using NH4OH:H2O2:H2O, J. Electrochem. Soc. 137(5), 1653–1654 (1990)

    Article  Google Scholar 

  199. Transene Company, Inc., Danvers, MA 01923: Gallium Arsenide Etchants, http://www.transene.com/gall.html, accessed December 24, 2010

  200. L.M. Fraas: Three-Terminal Ternary III-V Multicolor Solar Cells and Process of Fabrication, U.S. Patent No. 4,451,691, 1984

    Google Scholar 

  201. A. Shintani, S. Minagawa: Etching of GaN using phosphoric acid, J. Electrochem. Soc. 123(5), 706–713 (1976)

    Article  Google Scholar 

  202. W.H. Hackett Jr, T.E. McGahan, R.W. Dixon, G.W. Kammlott: A scanning electron microscope investigation of etching phenomena in GaP electroluminescent diodes, J. Electrochem. Soc. 119(7), 973–976 (1972)

    Article  Google Scholar 

  203. T. Uragaki, H. Yamanaka, M. Inoue: Selective etching of GaP crystals with hot phosphoric acid, J. Electrochem. Soc. 123(4), 580–582 (1976)

    Article  Google Scholar 

  204. R.H. Saul: The defect structure of GaP crystals grown from gallium solutions, vapor phase and liquid phase epitaxial deposition, J. Electrochem. Soc. 115(11), 1184–1190 (1968)

    Article  Google Scholar 

  205. Transene Company, Inc., Danvers, MA 01923: Gallium Phosphide Etchant, http://www.transene.com/gap.html, accessed December 24, 2010

  206. S. Rivillon, Yves J. Chabel, F. Amy, A. Kahn: Hydrogen passivation of germanium (100) surfaces using wet chemical preparation, Appl. Phys. Lett. 87(253101), 1–3 (2005)

    Google Scholar 

  207. M. Balog, M. Schieber: Chemical vapor deposition and characterization of HfO2 films from organo-hafnium compounds, Thin Solid Films 41, 247–259 (1977)

    Article  Google Scholar 

  208. N.J. Sauer, K.B. Chough: A selective etch for InAlAs over InGaAs and for different InGaAlAs quaternaries, J. Electrochem. Soc. 139(1), L10–L11 (1992)

    Article  Google Scholar 

  209. M.C. Lavine, H.C. Gatos, M.C. Finn: Characteristics of the {111} surfaces of the III-V intermetallic compounds – Part III, J. Electrochem. Soc. 108(10), 974–980 (1961)

    Article  Google Scholar 

  210. H.C. Gatos, M.C. Lavine: Etching and inhibition of the {111} surfaces of the III-V intermetallic compounds: InSb, J. Phys. Chem. Solids 14, 169–174 (1960)

    Article  Google Scholar 

  211. J.F. Dewald: The kinetics and mechanism of formation of anode films on single-crystal InSb, J. Electrochem. Soc. 104(4), 244–251 (1957)

    Article  Google Scholar 

  212. D.-S. Kim, S.R. Forrest, M.J. Lange, G.H. Olsen, M.J. Cohen: A three wavelength infrared focal plane array detector element, IEEE Photon. Technol. Lett. 6(2), 235–238 (1994)

    Article  Google Scholar 

  213. A.H. Eltoukhy, J.E. Greene: Compositionally modulated sputtered InSb/GaSb superlattices: Crystal growth and interlayer diffusion, J. Appl. Phys. 50(1), 505–517 (1979)

    Article  Google Scholar 

  214. F. Fiedler, A Schlachetzki, G. Klein: Material-selective etching of InP and an InGaAsP alloy, J. Mater. Sci. 17, 2911–2918 (1982)

    Article  Google Scholar 

  215. S. Adachi, Y. Noguchi, H. Kawaguchi: Chemical etching of InGaAsP/InP DH wafer, J. Electrochem. Soc. 129(5), 1053–1062 (1982)

    Article  Google Scholar 

  216. H. Ito, T. Ishibashi: Selective and nonselective chemical etching of InGa(As)P/GaAs heterostructures, J. Electrochem. Soc. 142(10), 3383–3386 (1995)

    Article  Google Scholar 

  217. J.R. Lothian, J.M. Kuo, F. Ren, S.J. Pearton: Plasma and wet chemical etching of In0.5Ga0.5P, J. Electron. Mater. 21(4), 441–445 (1992)

    Article  Google Scholar 

  218. J.W. Lee, S.J. Pearton, C.R. Abernathy, W.S. Hobson, F. Ren, C.S. Wu: Investigation of wet etching solutions for In0.5Ga0.5P, Solid-State Electron. 38(11), 1871–1874 (1995)

    Article  Google Scholar 

  219. D. Gregusova, P. Elias, L. Malacky, R. Kudela, J. Skriniarova: Wet chemical MESA etching of InGaP and GaAs with solutions based on HCl, CH3COOH, and H2O2, Phys. Stat. Sol. A 151, 113–118 (1995)

    Article  Google Scholar 

  220. J.C.C. Fan, F.J. Bachner: Properties of Sn-doped In2O3 films prepared by RF sputtering, J. Electrochem. Soc. 122(12), 1719–1725 (1975)

    Article  Google Scholar 

  221. J. Kane, H.P. Schweizer, W. Kern: Chemical vapor deposition of transparent electrically conducting layers of indium oxide dope with tin, Thin Solid Films 29, 155–163 (1975)

    Article  Google Scholar 

  222. B. Tuck, A.J. Baker: Chemical etching of {111} and {100} surfaces of InP, J. Mater. Sci. 8(11), 1559–1566 (1973)

    Article  Google Scholar 

  223. S. Adachi, H. Kawaguchi: Chemical etching characteristics of (001) InP, J. Electrochem. Soc. 128(6), 1342–1349 (1976)

    Article  Google Scholar 

  224. C. Seassal, J.L. Leclercq, P. Viktorovitch: Fabrication of InP-based freestanding microstructures by selective surface micromachining, J. Micromech. Microeng. 6, 261–265 (1996)

    Article  Google Scholar 

  225. S.B. Phatak, G. Kelner: Material-selective chemical etching in the system inGaAsP/InP, J. Electrochem. Soc. 126(2), 287–292 (1979)

    Article  Google Scholar 

  226. S. Singh, R.S. Williams, L.G. Van Uitert, A. Schlierr, I. Camlibel, W.A. Bonner: Analysis of InP surface prepared by various cleaning methods, J. Electrochem. Soc. 129(2), 447–448 (1982)

    Article  Google Scholar 

  227. C.W. Wilmsen (Ed.): Physics and Chemistry of III-V Compound Semiconductor Interfaces, p. 416 (Plenum, New York, NY, 1985)

    Google Scholar 

  228. J.E.A.M. van den Meerakker, P.C. Baarslag, M. Scholten: On the mechanism of ITO etching in halogen acids: The influence of oxidizing agents, J. Electrochem. Soc. 142(7), 2321–2325 (1995)

    Article  Google Scholar 

  229. M. Scholten, J.E.A.M. van den Meerakker: On the mechanism of ITO etching: The specificity of halogen acids, J. Electrochem. Soc. 140(2), 471–475 (1993)

    Article  Google Scholar 

  230. C.J. Huang, Y.K. Su, S.L. Wu: The Effect Of Solvent On The Etching of ITO electrode, Mater. Chem. Phys. 84, 146–150 (2004)

    Article  Google Scholar 

  231. M. Venkatesan, S. McGee, U. Mitra: Indium tin oxide thin films for metallization in microelectronic devices, Thin Solid Films 170, 151–162 (1989)

    Article  Google Scholar 

  232. G. Bradshaw, A.J. Hughes: Etching methods for indium oxide/tin oxide films, Thin Solid Films 33, L5–L7 (1976)

    Article  Google Scholar 

  233. Transene Company, Inc., Danvers, MA 01923: Indium Tin Oxide Etchant TE-100, http://www.transene.com/tino.html, accessed December 24, 2010

  234. J.B. MacChesney, P.B. O’Connor, M.V. Sullivan: Chemical vapor deposition of iron oxide films for use as semitransparent masks, J. Electrochem. Soc. 118(5), 776–781 (1971)

    Article  Google Scholar 

  235. Transene Company, Inc., Danvers, MA 01923: http://www.transene.com/fe2o3.html, accessed December 24, 2010

  236. D.G. Coates, W.D. Lawson, A.C. Prior: Single crystal photoconductive detectors in lead selenide, J. Electrochem. Soc. 108(11), 1038–1042 (1961)

    Article  Google Scholar 

  237. R.F. Brebrick, W.W. Scanlon: Chemical etches and etch pit patterns on PbS crystals, Chem. Phys. 27(3), 607–608 (1957)

    Google Scholar 

  238. J. Baborowski: Microfabrication of piezoelectric MEMS, J. Electroceram. 12(1), 33–51 (2004)

    Article  Google Scholar 

  239. S. Trolier, C. Geist, A. Safari, R.E. Newnham, Q.C. Xu: Etched Piezoelectric Structures, Sixth IEEE International Symposium on Applications of Ferroelectrics, pp. 707–710 (Bethlehem, PA, June 8–11, 1986)

    Google Scholar 

  240. K.K. Wong (Ed.): Properties of Lithium Niobate, Chapter 11.3 (INSPEC, London, 2002)

    Google Scholar 

  241. H.J. Lee, S.-Y. Shin: Lithium niobate ridge waveguides fabricated by wet etching, Electron. Lett. 31(4), 268–269 (1995)

    Article  Google Scholar 

  242. K. Nassau, H.J. Levinstein, G.M. Loiacono: Ferroelectric lithium niobate. 1. Growth, domain structure, dislocations and etching, J. Phys. Chem. Solids 27, 983–988 (1966)

    Article  Google Scholar 

  243. J.E. Gray, Z. Celik-Butler, D.P. Butler: MgO sacrificial layer for micromachining uncooled Y-Ba-Cu-O IR microbolometers on Si3N4 bridges, IEEE J. Microelectromech. Syst. 8(2), 192–199 (1999)

    Article  Google Scholar 

  244. K. Sangwal, S.K. Arora: Etching of MgO crystals in acids: Kinetics and mechanism of dissolution, J. Mater. Sci. 13, 1977–1985 (1978)

    Article  Google Scholar 

  245. B.N. Pramila Bai, N.H. MacMillan: Chemical polishes for {100}, {110} and {111} MgO surfaces, J. Mater. Sci. 14(2), 494–495 (1979)

    Article  Google Scholar 

  246. D.J. Sharp: Thin Film Capacitors Employing Semiconductive Oxide Electrolytics, U.S. Patent No. 3,397,446, 1968

    Google Scholar 

  247. C.A. Hampel (Ed.): Rare Metals Handbook, 2nd edn., Chapter 23 (Krieger, Huntington, NY, 1971)

    Google Scholar 

  248. C.-M. Zetterling (Ed.): Process Technology for Silicon Carbide Devices, Chapter 4 (INSPEC, London, 2002)

    Google Scholar 

  249. R. Cheung (Ed.): Silicon Carbide Microelectromechanical Systems for Harsh Environments, Chapter 4 (Imperial College Press, London, UK, 2006)

    Google Scholar 

  250. G.L. Harris, K. Fekade, K. Wongchotigul: Anodic etching of p-type cubic silicon carbide, J. Mater. Sci.: Mater. Electron. 3, 162–163 (1992)

    Article  Google Scholar 

  251. J.S. Shor, A.D. Kurtz: Photoelectrochemical etching of 6H-SiC, J. Electrochem. Soc. 141(3), 778–781 (1994)

    Article  Google Scholar 

  252. Transene Company, Inc., Danvers, MA 01923: Gallium Phosphide Etchant, http://www.transene.com/gap.html, accessed December 24, 2010; see also http://www.transene.com/etchants.html, accessed December 24, 2010

  253. C. Li, P. Losee, J. Seiler, I. Bhat, T.P. Chow: Fabrication and characterization of 4H-SiC P-N junction diodes by selective-epitaxial growth using TaC as the mask, J. Electron. Mater. 34(4), 450–456 (2005)

    Article  Google Scholar 

  254. Transene Company, Inc., Danvers, MA 01923: Tantalum Etchants SIE-8607 and 111, http://www.transene.com/tant_etch.html, accessed December 24, 2010; see also http://www.transene.com/etch_compatibility.html, accessed December 24, 2010

  255. C.A. Hampel (Ed.): Rare Metals Handbook, 2nd edn., Chapter 26 (Krieger, Huntington, NY, 1971)

    Google Scholar 

  256. P. Skadron, V.A. Johnson: Anistropy and annealing behavior in extrinsic single-crystal tellurium, J. Appl. Phys. 37(4), 1912–1917 (1966)

    Article  Google Scholar 

  257. B.J. Baliga, S.K. Ghandhi: Electrochemical patterning of tin oxide films, J. Electrochem. Soc. 124(7), 1059–1060 (1977)

    Article  Google Scholar 

  258. M. Wittmer, H. Melchior: Applications of TiN thin films in silicon device technology, Thin Solid Films 93, 397–405 (1982)

    Article  Google Scholar 

  259. A.R. Londergan, G. Nuesca, C. Goldberg, G. Peterson, A.E. Kaloyeros, B. Arkles, J.J. Sullivan: Interlayer mediated epitaxy of cobalt silicide on silicon (100) from low temperature chemical vapor deposition of cobalt, J. Electrochem. Soc. 148(1), C21–C27 (2001)

    Article  Google Scholar 

  260. M.M. Hussain, M.A. Quevedo-Lopez, H.N. Alshareef, D. Larison, K. Mathur, B.E. Gnade: Deposition method-induced stress effect on ultrathin titanium nitride etch characteristics, Electrochem. Solid-State Lett. 9(12), G361–G363 (2006)

    Article  Google Scholar 

  261. Y. Hu, S.P. Tay: Spectroscopic ellipsometry investigation of silicide formation by rapid thermal process, J. Vac. Sci. Technol. B 17(5), 2284–2289 (1999)

    Article  Google Scholar 

  262. L. Kritikos, L. Zambelis, G. Papadimitropoulos, D. Davazoglou: Structure and electrical properties of selectively chemically vapor deposited vanadium oxide films from vanadium tri-i-propoxy oxide vapors, Surf. Coat. Technol. 201, 9334–9339 (2007)

    Article  Google Scholar 

  263. I. Galesic, B.O. Kolbesen: Characterization of transition metal nitride formation in rapid thermal processing (RTP), Fresenius J. Anal. Chem. 365, 199–202 (1999)

    Article  Google Scholar 

  264. M.J. Vellekoop, C.C.G. Visser, P.M. Sarro, A. Venema: Compatibility of zinc oxide with silicon IC processing, Sens. Actuators A21–A23, 1027–1030 (1990)

    Article  Google Scholar 

  265. T. Xu, G. Wu, G. Zhang, Y. Hao: The compatibility of ZnO piezoelectric film with micromachining process, Sens. Actuators A Phys. 104(1), 61–67 (2003)

    Article  Google Scholar 

  266. V. Bhatt, P. Pal, S. Chandra: Feasibility study of RF sputtered ZnO film for surface micromachining, Surf. Coat. Technol. 198(1–3), 304–308 (2005)

    Article  Google Scholar 

  267. J.H. Lan, J. Kanick, A. Catalano, J. Keane: An Alternative Transparent Conducting Oxide to ITO for the a-Si:H TFT-LCD Applications, Second International Workshop on Active Matrix Liquid Crystal Displays, AMLCD ’95, pp. 54–57 (September 25–26, Bethlehem, PA, USA, 1995)

    Google Scholar 

  268. Y.C. Lin, Y.C. Jian, J.H. Jiang: A study on the wet etching behavior of AZO (ZnO:Al) transparent conducting film, Appl. Surf. Sci. 254, 2671–2677 (2008)

    Article  Google Scholar 

  269. A. Sagar, W. Lehmann, J.W. Faust Jr: Etchants for ZnSe, J. Appl. Phys. 39, 5336–5338 (1968)

    Article  Google Scholar 

  270. K. Winchester, S.M.R. Spaargaren, J.M. Dell: The Use of ZnS as a Sacrificial Layer in the Construction of PECVD SiNx Self-Supporting Structures, 1998 Conference on Optoelectronic and Microelectronic Materials and Devices, pp. 493–496 (1998)

    Google Scholar 

  271. C.A. Hampel (Ed.): Rare Metals Handbook, 2nd edn., Chapter 3 (Krieger, Huntington, NY, 1971)

    Google Scholar 

  272. J.B. Mooney, J.O. McCaldin: Physical contact properties of beryllium metallization on silicon devices, J. Electrochem. Soc. 124(4), 625–627 (1977)

    Article  Google Scholar 

  273. M.E. Straumanis, D.L. Mathis: The dissolution reaction and attack of beryllium by HF, HCl, and H2SO4, J. Electrochem. Soc. 109(5), 434–436 (1962)

    Article  Google Scholar 

  274. D.L. Partin, J. Heremans, D.T. Morelli, C.M. Thrush, C.H. Olk, T.A. Perry: Growth and characterization of epitaxial bismuth films, Phys. Rev. B 38(6), 3818–3825 (1988)

    Article  Google Scholar 

  275. L.C. Lovell, J.H. Wernick: Dislocation etch pits in bismuth, J. Appl. Phys. 30(2), 234–235 (1959)

    Article  Google Scholar 

  276. A. Rogel: Durable chromium masks for photoresist applications, Rev. Sci. Instrum. 37, 1416 (1966)

    Article  Google Scholar 

  277. C.A. Hampel (Ed.): Rare Metals Handbook, 2nd edn., Chapter 7 (Krieger, Huntington, NY, 1971)

    Google Scholar 

  278. Transene Company, Inc., Danvers, MA 01923: http://www.transene.com/cr.html, accessed December 24, 2010

  279. S. Kamiya, H. Takahashi, R. Polini, E. Traversa: Quantitative determination of the adhesive fracture toughness of CVD diamond to WC-Co cemented carbide, Diam. Relat. Mater. 9(2), 191–194 (2000)

    Article  Google Scholar 

  280. C.A. Hampel (Ed.): Rare Metals Handbook, 2nd edn., Chapter 8 (Krieger, Huntington, NY, 1971)

    Google Scholar 

  281. S.P. Murarka, D.B. Fraser, A.K. Sinha, H.J. Levinstein, E.J. Lloyd, R. Liu, D.S. Williams, S.J. Hillenius: Self-aligned cobalt disilicide for gate and interconnection and contacts to shallow junctions, IEEE Trans. Electron Dev. ED-34(10), 2108–2115(1987)

    Article  Google Scholar 

  282. W. Lee, Y.J. Hu: U.S. Patent Publication 2002/0055262

    Google Scholar 

  283. F.-M. Yang, M.-C. Chen: Formation of self-aligned cobalt silicide in normal flow nitrogen furnace, Thin Solid Films 207, 75–81 (1992)

    Article  Google Scholar 

  284. A.H.M. Kamal, M.J. Rack, M.N. Kozicki, D.K. Ferry, J. Lutzen, J.A. Hallmark: Ultrathin cobalt silicide layers formed by rapid thermal processing of metal on amorphous silicon, J. Vac. Sci. Technol. B 15(4), 899–902 (1997)

    Article  Google Scholar 

  285. T.L. Alford, M. Mitan, J.W. Mayer: Nanometer-Scale Silicide Structures Formed by Focused Ion-Beam Implantation, Proceedings of the 14th International Conference on Ion Implantation Technology, pp. 677–681 (Taos, NM, USA, September 22–27, 2002)

    Google Scholar 

  286. A. Matsushita, T. Sadoh, T. Tsurushima: Narrow CoSi2 Line Formation on SiO2 by Focused Ion Beam, International Conference on Ion Implantation Technology, vol. 2, pp. 861–864 (Kyoto, Japan, June 22–26, 1998)

    Google Scholar 

  287. G.-C. Jo, K.-S. Chae: Etchant and Array Substrate having Copper Lines Etch by the Etchant, U.S. Patent No. 6,780,784, 2004

    Google Scholar 

  288. H.K. Johnston, T.L. Larson: Etching Compositions and Method for Etching Cu and Ni Based Metals at a Uniform Rate, U.S. Patent No. 3,702,273

    Google Scholar 

  289. W.H. Kohl: Handbook of Materials and Techniques for Vacuum Devices, Chapter 6 (American Institute of Physics, New York, NY, 1995, originally published 1967)

    Google Scholar 

  290. Electronic Device Failure Analysis Society: Microelectronics Failure Analysis, 5th ed. (ASM International, 2004)

    Google Scholar 

  291. Transene Company, Inc., Danvers, MA 01923: http://www.transene.com/cu_etchant.html, accessed December 24, 2010; see also http://www.transene.com/etch_compatibility.html, accessed December 24, 2010

  292. D.R. Behrendt, S. Legvold, F.H. Spedding: Magnetic properties of dysprosium single crystals, Phys. Rev. 109(5), 1544–1547 (1958)

    Article  Google Scholar 

  293. M. Jang, J. Oh, S. Maeng, W. Cho, S. Lee, K. Kang, K. Park: Characteristics of erbium-silicided n-type Schottky barrier tunnel transistors, Appl. Phys. Lett. 83(13), 2611–2613 (2003)

    Article  Google Scholar 

  294. S. Hashimoto, S. Miura, T. Kubo: Dislocation etch pits in gold, J. Mater. Sci. 11(8), 1501–1508 (1976)

    Article  Google Scholar 

  295. Transene Company, Inc., Danvers, MA 01923: Gold Etchants, http://www.transene.com/au_etchant.html, accessed December 24, 2010; see also http://www.transene.com/etch_compatibility.html, accessed December 24, 2010

  296. F.B. Litton: Preparation and some properties of hafnium metal, J. Electrochem. Soc. 98(12), 488–494 (1951)

    Article  Google Scholar 

  297. C.A. Hampel (Ed.): Rare Metals Handbook, 2nd edn., Chapter 12 (Krieger, Huntington, NY, 1971)

    Google Scholar 

  298. P.T. Dawson, S.A.J. Stazyk: Auger and x-ray characterization of surface nitride films on Ti, Zr, and Hf, J. Vac. Sci. Technol. 21(1), 36–41 (1982)

    Article  Google Scholar 

  299. A.C. English: Detection of growth striations in germanium crystals, J. Appl. Phys. 31(8), 1498–1500 (1960)

    Article  Google Scholar 

  300. G.F. Vander Voort: Metallography, Principles and Practice, Chapter 3 (McGraw-Hill, New York, NY, 1984, reprinted 1999)

    Google Scholar 

  301. C.A. Hampel (Ed.): Rare Metals Handbook, 2nd edn., Chapter 13 (Krieger, Huntington, NY, 1971)

    Google Scholar 

  302. J.K. Rhee, P.K. Bhattacharya: A study of impurites and traps in liquid phase epitaxial InP in relation to melt prebaking, J. Electrochem. Soc. 130(3), 700–703 (1983)

    Article  Google Scholar 

  303. W.H. Kohl: Handbook of Materials and Techniques for Vacuum Devices, Chapter 5 (American Institute of Physics, New York, NY, 1995, originally published 1967)

    Google Scholar 

  304. R.N. Castellano, P.H. Schmidt: Chemical polish and etch for lithium, sodium and potassium, J. Electrochem. Soc. 118(4), 653–654 (1971)

    Article  Google Scholar 

  305. C.A. Hampel (Ed.): Rare Metals Handbook, 2nd edn., Chapter 15 (Krieger, Huntington, NY, 1971)

    Google Scholar 

  306. C.A. Hampel (Ed.): Rare Metals Handbook, 2nd edn., Chapter 16 (Krieger, Huntington, NY, 1971)

    Google Scholar 

  307. W.H. Kohl: Handbook of Materials and Techniques for Vacuum Devices, Chapter 10 (American Institute of Physics, New York, NY 1995, originally published 1967)

    Google Scholar 

  308. Transene Company, Inc., Danvers, MA 01923: http://www.transene.com/mo.html, accessed December 24, 2010

  309. M. Tanielian, S. Blackstone, R. Lajos: A new technique of forming thin free standing single-crystal films, J. Electrochem. Soc. 132(2), 507–509 (1985)

    Article  Google Scholar 

  310. Transene Company, Inc., Danvers, MA 01923: Nichrome Etchants TFC and TFN, http://www.transene.com/ni-cr.html, accessed December 24, 2010; see also http://www.transene.com/etch_compatibility.html, accessed December 24, 2010

  311. J.T. Sheu, S.P. Yeh, S.T. Tsai, C.H. Lien: Fabrication and Electrical Transport Properties of Nickel Monosilicide Nanowires, Proceedings of the 5th IEEE Conference on Nanotechnology, vol. 2, pp. 780–783 (Nagoya, Japan, July 11–15, 2005)

    Google Scholar 

  312. Y.-L. Jiang, G.-P. Ru, X.-P. Qu, B.-Z. Li, C. Detavernier, R. L. Van Meirhaeghe: Linear growth of Ni2Si thin film on N+/P junction at low temperature, J. Mater. Res. 21(12), 3017–3021 (2006)

    Article  Google Scholar 

  313. L.P. Fox: Method of Chemically Polishing Nickel, U.S. Patent No. 2,680,678, 1954

    Google Scholar 

  314. Y. Huang, B. Hekmatshoar, S. Wagner, J.C. Sturm: Top-gate amorphous silicon TFT with self-aligned silicide source/drain and high mobility, IEEE Electron Device Lett. 29(7), 737–739 (2008)

    Article  Google Scholar 

  315. W.H. Kohl: Handbook of Materials and Techniques for Vacuum Devices, Chapter 7 (American Institute of Physics, New York, NY 1995, originally published 1967)

    Google Scholar 

  316. J.D. Morse, A.F. Jankowski, R.T. Graff, J.P. Hayes: Novel proton exchange membrane thin-film fuel cell for microscale energy conversion, J. Vac. Sci. Technol. A 18(4), 2003–2005 (2000)

    Article  Google Scholar 

  317. M.J. Graham, M. Cohen: On the mechanism of low-temperature oxidation (23°–450°C) of polycrystalline nickel, J. Electrochem. Soc. 119(7), 879–882 (1972)

    Article  Google Scholar 

  318. Transene Company, Inc., Danvers, MA 01923: Nickel Etchants, http://www.transene.com/ni_etchant.html, accessed December 24, 2010; see also http://www.transene.com/etch_compatibility.html, accessed December 24, 2010

  319. C.A. Hampel (Ed.): Rare Metals Handbook, 2nd edn., Chapter 9 (Krieger, Huntington, NY, 1971)

    Google Scholar 

  320. W.H. Kohl: Handbook of Materials and Techniques for Vacuum Devices, Chapter 8 (American Institute of Physics, New York, NY 1995, originally published 1967)

    Google Scholar 

  321. M.S. Shivaraman, C.M. Svensson: Control of palladium adherence to silicon dioxide for photolithographic etching, J. Electrochem. Soc. 123(8), 1258 (1976)

    Article  Google Scholar 

  322. R.N. Singh, D.W. Skelly, D.M. Brown: Palladium silicide ohmic contacts to shallow junctions in silicon, J. Electrochem. Soc. 133(11), 2390–2393 (1986)

    Article  Google Scholar 

  323. Washington Technology Center: Chemical Use Standard Operating Procedure Revision E, http://www.watechcenter.org/downloads/Chemical_Use.pdf, accessed December 24, 2010

  324. Transene Company, Inc., Danvers, MA 01923: http://www.transene.com/pd.html, accessed December 24, 2010

  325. J.J. Kelly, G.J. Koel: Electrochemical aspects of the beveling of sputtered permalloy films, J. Electrochem. Soc. 125(6), 860–865 (1978)

    Article  Google Scholar 

  326. B. Ilic, D. Czaplewski, P. Neuzil, T. Stanczyk, J. Blough, G.J. Maclay: Preparation and characterization of platinum black electrodes, J. Mater. Sci. 35, 3447–3457 (2000)

    Article  Google Scholar 

  327. E. Alptekin, M.C. Ozturk, V. Misra: Tuning of the platinum silicide Schottky barrier height on n-type silicon by sulfur segregation, IEEE Electron Dev. Lett. 30(4), 331–333 (2009)

    Article  Google Scholar 

  328. M.J. Rand, J.F. Roberts: Observations on the formation and etching of platinum silicide, Appl. Phys. Lett. 24(2), 49–51 (1974)

    Article  Google Scholar 

  329. M.J. Rand: I-V characteristics of PtSi-Si contacts made from CVD platinum, J. Electrochem. Soc. 122(6), 811–815 (1975)

    Article  Google Scholar 

  330. A.K. Pant, S.P. Murarka, C. Shepard, W. Lanford: Kinetics of platinum silicide formation during rapid thermal processing, J. Appl. Phys. 72(5), 1833–1836 (1992)

    Article  Google Scholar 

  331. Transene Company, Inc., Danvers, MA 01923: Ruthernium Etchant RU-44, http://www.transene.com/ru_etchant.html, accessed December 24, 2010

  332. C.C. Chen, A.A. Hendrickson: Dislocation etch pits in silver, J. Appl. Phys. 42(6), 2208–2215 (1971)

    Article  Google Scholar 

  333. Transene Company, Inc., Danvers, MA 01923: Silver Etchant, http://www.transene.com/ag_etchant.html, accessed December 24, 2010; see also http://www.transene.com/etch_compatibility.html, accessed December 24, 2010

  334. Y.H. Choo, O.F. Devereux: Mechanical failure of anodic films on aluminum and tantalum, J. Electrochem. Soc. 123(12), 1868–1876 (1976)

    Article  Google Scholar 

  335. D.A. Vermilyea: Flaws in anodic Ta2O5 films, J. Electrochem. Soc. 110(4), 250–262 (1963)

    Article  Google Scholar 

  336. W.H. Kohl: Handbook of Materials and Techniques for Vacuum Devices, Chapter 11 (American Institute of Physics, New York, NY 1995, originally published 1967)

    Google Scholar 

  337. C.A. Hampel (Ed.): Rare Metals Handbook, 2nd edn., Chapter 25 (Krieger, Huntington, NY, 1971)

    Google Scholar 

  338. M.M. Hussain, N. Moumen, J. Barnett, J. Saulters, D. Baker, Z. Zhang: Metal wet etch process development for dual metal gate CMOS, Electrochem. Solid-State Lett. 8(12), G333–G336 (2005)

    Article  Google Scholar 

  339. C.A. Hampel (Ed.): Rare Metals Handbook, 2nd edn., Chapter 28 (Krieger, Huntington, NY, 1971)

    Google Scholar 

  340. B.H. Chin, R.E. Frahm, T.T. Sheng, W.A. Bonner: Carrier saturation in tin-doped InP films grown by liquid phase epitaxy, J. Electrochem. Soc. 131(6), 1373–1374 (1984)

    Article  Google Scholar 

  341. J.H. Thomas III: Etch rates of an electroplated alloy (Sn-Ni) using a quartz crystal microbalance, J. Electrochem. Soc. 124(5), 677–680 (1977)

    Article  Google Scholar 

  342. C.A. Hampel (Ed.): Rare Metals Handbook, 2nd edn., Chapter 32 (Krieger, Huntington, NY, 1971)

    Google Scholar 

  343. K.J. Miller, M.J. Grieco, S.M. Sze: Growth of vanadium on silicon substrates, J. Electrochem. Soc. 113(9), 902–904 (1966)

    Article  Google Scholar 

  344. V.F. Drobny: Nearly ideal unguarded vanadium-silicide Schottky-barrier diodes, IEEE Trans. Electron Devices ED-33(9), 1294–1298 (1986)

    Article  Google Scholar 

  345. O.N. Carlson, J.A. Haefling, F.A. Schmidt, F.H. Spedding: Preparation and refining of yttrium metal by H-Mg alloy process, J. Electrochem. Soc. 107(6), 540–545 (1960)

    Article  Google Scholar 

  346. C.A. Hampel (Ed.): Rare Metals Handbook, 2nd edn., Chapter 33 (Krieger, Huntington, NY, 1971)

    Google Scholar 

  347. C.A. Hampel (Ed.): Rare Metals Handbook, 2nd edn., Chapter 34 (Krieger, Huntington, NY, 1971)

    Google Scholar 

  348. W. Tegart: The Electrolytic and Chemical Polishing of Metals, 2nd edn. (Pergamon, Oxford, 1959)

    Google Scholar 

  349. Transene Company, Inc., Danvers, MA 01923: Chromium Cerment Etchant TFE, http://www.transene.com/cr.html, accessed December 24, 2010

  350. C. Detavernier, R.L. Van Meirhaeghe, W. Vandervorst, K. Maex: Influence of processing conditions on CoSi2 formation in the presence of a Ti capping layer, Microelectron. Eng. 71, 252–261 (2004)

    Article  Google Scholar 

  351. M.R. Baklanov, I.A. Badmaeva, R.A. Donaton, L.L. Sveshnikova, W. Storm, K. Maex: Kinetics and mechanism of the etching of CoSi2 in HF-based solutions, J. Electrochem. Soc. 143(10), 3245–3251 (1996)

    Article  Google Scholar 

  352. A.T. Kuhn, D. Wakeman, E.Y. El Roubi, G.C.S. Collins: Anodic dissolution and oxygen evolution on binary and ternary iron-silicon alloys, Electrochem. Acta 28(4), 515–527 (1983)

    Article  Google Scholar 

  353. T.J. Kang, H.-Y. Lee, Y.H. Kim: Reduction of sheet resistance and low-thermal-budget relaxation of stress gradients in polysilicon microcantilever beams using nickel-silicides, J. Microelectromech. Syst. 16(2), 279–288 (2007)

    Article  Google Scholar 

  354. I.P. Nikitina, K.V. Vassilevski, N.G. Wright, A.B. Horsfall, A.G. O’Neill, C.M. Johnson: Formation and role of graphite and nickel silicide in nickel based ohmic contacts to n-type silicon carbide, J. Appl. Phys. 97, 083709 (2005)

    Article  Google Scholar 

  355. M. Qin, M.C. Poon, C.Y. Yuen: A study of nickel silicide film as a mechanical material, Sens. Actuators 87, 90–95 (2000)

    Article  Google Scholar 

  356. K.-H. Son, J.-K. Yoon, J.-H. Han, G.-H. Kim, J.-M. Doh, S.-R. Lee: Microstructure of NbSi2/SiC nanocomposite coating formed on Nb substrate, J. Alloys Compounds 395, 185–191 (2005)

    Article  Google Scholar 

  357. T.J. Kingzett, C.A. Ladas: Effect of oxidizing ambients on platinum silicide formation, J. Electrochem. Soc. 122(12), 1729–1732 (1975)

    Article  Google Scholar 

  358. R.V. Skolozdra, T.F. Fedorov, N.M. Popova, E.I. Gladyshevskii: Tungsten-Rhenium-silicon system, Powder Metal. Metal Ceram. 8(9), 743–745 (1969)

    Article  Google Scholar 

  359. U. Erlesand, M. Ostling, B.G. Svensson: Point defect generation during silicide formation, Appl. Surf. Sci. 53, 224–229 (1991)

    Article  Google Scholar 

  360. B.D. Kraus, M.T. Andreas: U.S. Patent Publication No. US 2003/0205689 (2003)

    Google Scholar 

  361. A.E. Widmer, R. Fehlmann: The growth and physical properties of low pressure chemically vapour-deposited films of tantalum silicide on n+-type polycrystalline silicon, Thin Solid Films 138, 131–140 (1986)

    Article  Google Scholar 

  362. G.J. Reynolds: Low pressure chemical vapor deposition of tantalum silicide, J. Electrochem. Soc. 135(6), 1483–1490 (1988)

    Article  Google Scholar 

  363. S.P. Murarka, D.B. Fraser, A.K. Sinha, H.J. Levinstein: Refractory silicides of titanium and tantalum for low-resistivity gates and interconnects, IEEE Trans. Electron Devices ED-27(8), 1409–1417 (1980)

    Article  Google Scholar 

  364. Transene Company, Inc., Danvers, MA 01923: Semiconductor and Thin Film Etchants for Microelectronic Circuits, http://www.transene.com/etchants.html, accessed December 24, 2010

  365. H. Geng (Ed.): Semiconductor Manufacturing Handbook, Chapter 5 (McGraw-Hill, New York, NY, 2005)

    Google Scholar 

  366. M. Bhaskaran, S. Sriram, L.W. Sim: Nickel silicide thin films as masking and structural layers for silicon bulk micro-machining by potassium hydroxide wet etching, J. Micromech. Microeng. 18, 1–3 (2008)

    Article  Google Scholar 

  367. J. M. Harris, S.S. Lau, M.-A. Nicoet, R.S. Nowicki: Studies of the Ti-W metallization system on Si, J. Electrochem. Soc. 123(1), 120–124 (1976)

    Article  Google Scholar 

  368. J.-K. Yoon, K.-W. Lee, S.-J. Chung, I.-J. Shon, J.-M. Doh, G.-H. Kim: Growth kinetics and oxidation behavior of WSi2 coating formed by chemical vapor deposition of Si on W substrate, J. Alloys Compounds 420(1–2), 199–206 (2006)

    Article  Google Scholar 

  369. F. Mohammadi, K. . Saraswat: Properties of sputtered tungsten silicide for MOS integrated circuit applications, J. Electrochem. Soc. 127(2), 450–454 (1980)

    Article  Google Scholar 

  370. M.F. Bain, B.M. Armstrong, H.S. Gamble: The deposition and characterisation of CVD tungsten silicide for applications in microelectronics, Vacuum 64, 227–232 (2002)

    Article  Google Scholar 

  371. E.R. Vance, T.R. Finlayson: The lattice softening in V3Si, J. Appl. Phys. 39(4), 1980–1982 (1968)

    Article  Google Scholar 

  372. H.J. Levinstein, E.S. Greiner, H. Mason Jr: Observation of dislocations in V3Si single crystals, J. Appl. Phys. 37(1), 164–166 (1966)

    Article  Google Scholar 

  373. R.D. Frampton, E.A. Irene, F.M. d’Heurle: A study of the oxidation of selected metal silicides, J. Appl. Phys. 62(7), 2972–2980 (1987)

    Article  Google Scholar 

  374. Plastics Design Library: Chemical Resistance, 1. Thermoplastics and 2. Thermoplastic Elastomers, Thermosets and Rubbers, 2nd edn. (Plastics Design Library, Morris, NY, 1994)

    Google Scholar 

  375. R.W. Johnson, T.L. Phillips, W.K. Weidner, S.F. Hahn, D.C. Burdeaux, P.H. Townsend: Benzocyclobutene interlayer dielectrics for thin film multichip modules, IEEE Trans. Compon. Hybrids Manuf. Technol. 13(2), 347–352 (1990)

    Article  Google Scholar 

  376. Dow Chemical: Rework Procedures for Cyclotene 3000 Series and 4000 Series Resins, http://msdssearch.dow.com/PublishedLiteratureDOWCOM/dh_0055/0901b803800550f8.pdf?filepath=cyclotene/pdfs/noreg/618-00204.pdf&fromPage=GetDoc, accessed December 24, 2010

  377. D. Burdeaux, P. Townsend, J. Carr: Benzocyclobutene (BCB) dielectrics for the fabrication of high density, thin film multichip modules, J. Electron. Mater. 19(12), 1357–1366 (1990)

    Article  Google Scholar 

  378. D. Paul, A. Pallandre, S. Miserere, J. Weber, J.-L. Viovy: Lamination-based rapid prototyping of microfluidic devices using flexible thermoplastic substrates, Electrophoresis 28, 1115–1122 (2007)

    Article  Google Scholar 

  379. R.C. Bening, T.J. McCarthy: Surface modification of poly(tetrafluoroethylene-co-hexafluoropropylene), Macromolecules 23(10), 2648–2655 (1990)

    Article  Google Scholar 

  380. X. Wang, J. Engel, C. Liu: Liquid crystal polymer (LCP) for MEMS: Processes and applications, J. Micromech. Microeng. 13, 628–633 (2003)

    Article  Google Scholar 

  381. T. Kamal, D.W. Hess: Photoresist removal using low molecular weight alcohols, J. Electrochem. Soc. 147(7), 2749–2753 (2000)

    Article  Google Scholar 

  382. K.S. Lee, G.B. Blanchet, F. Gao, Y.-L. Loo: Direct patterning of conductive water-soluble polyanaline for thin-film organic electronics, Appl. Phys. Lett. 86, 074102 (2005)

    Article  Google Scholar 

  383. D.A. Peters, C.A. Deckert: Removal of photoresist film residues from wafer surfaces, J. Electrochem. Soc. 126(5), 883–886 (1979)

    Article  Google Scholar 

  384. H. Takahashi: Plasma-less photoresist stripping, ECS Trans. 11(2), 189–195 (2007)

    Article  Google Scholar 

  385. J.E. Spencer, R.A. Borel, A. Hoff: High rate photoresist stripping in an oxygen afterglow, J. Electrochem. Soc. 133(9), 1922–1925 (1986)

    Article  Google Scholar 

  386. S.Y.M. Chooi, P.-Y. Ee, V.K.T. Sih, M.-S. Zhou: Application of Ozonated Aqueous Solutions to Photoresist Strip and Ash Residue Removal Following Plasma Polysilicon Etching, Proceedings of the 6th International Symposium on Cleaning Technology in Semiconductor Device Manufacturing, vol. 99–36, pp. 212–218 (Honolulu, HI, October 17–22, 1999)

    Google Scholar 

  387. S. Verhaverbeke, J. Zhao: Novel wet photoresist strip for wafer level packaging, ECS Trans. 11(2), 235–238 (2007)

    Article  Google Scholar 

  388. A. Andreatta, Y. Cao, J.C. Chiang, A.J. Heeger: Electrically-conductive fibers of polyaniline spun from solutions in concentrated sulfuric acid, Synth. Metals 26, 383–389 (1988)

    Article  Google Scholar 

  389. R.K. Paul, C.K.S. Pillai: Melt/solution processable conducting polyaniline with novel sulfonic acid dopants and its thermoplastic blends, Synth. Metals 114, 27–35 (2000)

    Article  Google Scholar 

  390. W.S. Beh, I.T. Kim, D. Qin, Y. Xia, G.M. Whitesides: Formation of patterned microstructures of conducting polymers by soft lithography, and applications in microelectronic device fabrication, Adv. Mater. 11(12), 1038–1041 (1999)

    Article  Google Scholar 

  391. Y. Cao, P. Smith, A.J. Heeger: Counter-ion induced processibility of conducting polyaniline and of conducting polyblends of polyaniline in bulk polymers, Synth. Metals 48, 91–97 (1992)

    Article  Google Scholar 

  392. J.W.L. Zhou, H.-Y. Chan, T.K.H. To, K.W.C. Lai, W.J. Li: Polymer MEMS actuators for underwater micromanipulation, IEEE/ASME Trans. Mechatron. 9(2), 334–342 (2004)

    Article  Google Scholar 

  393. D.K. Armani, C. Liu: Microfabrication Technology for Polycaprolactone, a Biodegradable Polymer, 2000 IEEE Micro Electro Mechanical Systems, MEMS, pp. 294–299 (Miyazaki, Japan, January 23–27, 2000)

    Google Scholar 

  394. C. Chu, M. Bachman, Y.-M. Chiang, F. Gonzales, G.P. Li: Characterizations of metallized plastic MEMS, Mat. Res. Soc. Symp. Proc. 605, 97–102 (2000)

    Article  Google Scholar 

  395. D.G. LeGrand, J.T. Bendler: Handbook of Polycarbonate Science and Technology, Chapter 15 (Marcel Decker, New York, NY, 2000)

    Google Scholar 

  396. J.N. Lee, C. Park, G.M. Whitesides: Solvent compatibility of poly(dimethlysiloxane)-based microfluidic devices, Anal. Chem. 75, 6544–6554 (2003)

    Article  Google Scholar 

  397. J. Garra, T. Long, J. Currie, T. Schneider, R. White, M. Paranjape: Dry etching of polydimethylsiloxane for microfluidic systems, J. Vac. Sci. Technol. A 20(3), 975–982 (2002)

    Article  Google Scholar 

  398. B.-H. Jo, L.M. Van Lerberghe, K.M. Motsegood, D.J. Beebe: Three-dimensional micro-channel fabrication in polydimethylsiloxane (PDMS) elastomer, J. Microelectromech. Syst. 9(1), 76–81 (2000)

    Article  Google Scholar 

  399. A. Plecis, Y. Chen: Fabrication of microfluidic devices based on glass-PDMS-glass technology, Microelectron. Eng. 84, 1265–1269 (2007)

    Article  Google Scholar 

  400. B. Xu, F. Aria, G.M. Whitesides: Making honeycomb microcomposites by soft lithography, Adv. Mater. 11(6), 492–495 (1999)

    Article  Google Scholar 

  401. T.P. Chou, G. Cao: Adhesion of sol-gel-derived organic-inorganic hybrid coatings on polyester, J. Sol-Gel Sci. Technol. 27, 31–41 (2003)

    Article  Google Scholar 

  402. D. Briggs, D.M. Brewis, M.B. Konieczo: X-ray photoelectron spectroscopy studies of polymer surfaces, J. Mater. Sci. 11, 1270–1277 (1976)

    Article  Google Scholar 

  403. J.R. Rasmussen, E.R. Stedronsky, G.M. Whitesides: Introduction, modification, and characterization of functional groups on the surface of low-density polyethylene film, J. Am. Chem. Soc. 99(14), 4736–4745 (1977)

    Article  Google Scholar 

  404. J. Chen, S.K. Spear, J.G. Huddleston, R.D. Rogers: Polyethylene glycol and solutions of polyethylene glycol as green reaction media, Green Chem. 7, 64–82 (2005)

    Article  Google Scholar 

  405. J.J. Frantzen: Etching Polyester Film, U.S. Patent. No. 3,186,883 (1965)

    Google Scholar 

  406. Microsystems Technology Laboratories, MIT: Standard Operating Procedures Kapton Tape, http://www.mtl.mit.edu/services/fabrication/sops/kapton_tape.html, accessed December 24, 2010

  407. R.N. Leyden, W.E. Elias: Method for Selectively Forming Small Diameter Holes in Polyimide/Kevlar Substrates, U.S. Patent No. 4,889,858

    Google Scholar 

  408. A. Bagolini, L. Pakula, T.L.M. Scholtes, H.T.M. Pham, P.J. French, P.M. Sarro: Polyimide sacrificial layer and novel materials for post-processing surface micromachining, J. Micromech. Microeng. 12, 385–389 (2002)

    Article  Google Scholar 

  409. Transene Company, Inc., Danvers, MA 01923: Kapton Polyimide Film Etchant, http://www.transene.com/kapton.html, accessed December 24, 2010

  410. T. Hattori (Ed.): Ultraclean Surface Processing of Silicon Wafers, Chapter 44 (Springer, Berlin, 1998)

    Google Scholar 

  411. S.Y.M. Chooi, V.K.T. Sih, P.-Y. Ee, H.-S. Park: Post Nitride-STI Trench Etching (Incorporating DUV Resist and BARC) Polymer Cleaning, Proceedings of the 6th International Symposium on Cleaning Technology in Semiconductor Device Manufacturing, vol. 99–36, pp. 219–226 (Honolulu, HI, October 17–22, 1999)

    Google Scholar 

  412. S.B. Fuller, E.J. Wilhelm, J.M. Jacobson: Ink-jet printed nanoparticle microelectromechanical systems, J. Microelectromech. Syst. 11(1), 54–60 (2002)

    Article  Google Scholar 

  413. M.T. Koesdjojo, C.R. Koch, V.T. Remcho: Technique for microfabrication of polymeric-based microchips from an SU-8 master with temperature-assisted vaporized organic solvent bonding, Anal. Chem. 81, 1652–1659 (2009)

    Article  Google Scholar 

  414. T.R. Christenson: X-Ray – Based Fabrication, In M. Gad-El-Hak (Ed.): The MEMS Handbook: MEMS Design and Fabrication, vol. 2, Chapter 5 (CRC/Taylor and Francis, Boca Raton, FL, 2006)

    Google Scholar 

  415. J.-M. Cheng, D.-M. Wang, F.-C. Lin, J.-Y. Lai: Formation and gas flux of asymmetric PMMA membranes, J. Membr. Sci. 109, 93–107 (1996)

    Article  Google Scholar 

  416. E. Meng, P.-Y. Li, Y.-C. Tai: Plasma removal of parylene C, J. Micromech. Microeng. 18, 1–13 (2008)

    Article  Google Scholar 

  417. J.T.C. Yeh, K.R. Grebe: Patterning of poly-Para-Xylylenes by Reactive Ion Etching, J. Vac. Sci. Technol. A, 1(2, 1983, 604-608

    Article  Google Scholar 

  418. V.J. Armond, J.R. Atkinson: Chromic acid as an etchant for bulk polypropylene and its use to study (i) nitric acid attack on polypropylene (ii) crackes in polypropylene induced by tensile stress, J. Mater. Sci. 4, 509–517 (1969)

    Article  Google Scholar 

  419. K.-W. Lee, T.J. McCarthy: Surface-selective hydroxylation of polypropylene, Macromolecules 21(2), 309–313 (1988)

    Article  Google Scholar 

  420. K. Sakaoku, A. Peterlin: Electron microscopy of drawn polypropylene, J. Polym. Sci. 9, 895–915 (1971)

    Article  Google Scholar 

  421. C. Luo, A. Govindaraju, J. Garra, T. Schneider, R. White, J. Currie, M. Paranjape: Releasing SU-8 structures using polystyrene as a sacrificial material, Sens. Actuators A 114, 123–128 (2004)

    Article  Google Scholar 

  422. R.-C. Ruaan, T. Chang, D.-M. Wang: Selection criteria for solvent and coagulation medium in view of macrovoid formation in the wet phase inversion process, J. Polym. Sci. B 37, 1495–1502 (1999)

    Article  Google Scholar 

  423. L.P. Lee, S.A. Berger, D. Liepmann, L. Pruitt: High aspect ratio polymer microstructures and cantilevers for BioMEMS using low energy ion beam and photolithography, Sens. Actuators A 71, 144–149 (1998)

    Article  Google Scholar 

  424. C.A. Costello, T.J. McCarthy: Surface-selective introduction of specific functionalities onto poly(tetrafluoroethylene), Macromolecules 20(11), 2819–2828 (1987)

    Article  Google Scholar 

  425. C.A. Costello, T.J. McCarthy: Surface Modification of Poly(tetrafluoroethylene) with Benzoin Dianion, Macromolecules, 17(12), 2940–2942 (1984)

    Article  Google Scholar 

  426. S.R. Kim: Surface modification of poly(tetrafluoroethylene) film by chemical etching, plasma, and ion beam treatments, J. Appl. Polym. Sci. 77, 1913–1920 (2000)

    Article  Google Scholar 

  427. E.M. Petrie (Ed.): Handbook of Adhesives and Sealants, 2nd edn., Chapter 28.5.6 (McGraw-Hill, New York, NY, 2007)

    Google Scholar 

  428. J.M. Engel, J. Chen, D. Bullen, C. Liu: Polyurethane Rubber as a MEMS Material: Characterization and Demonstration of an All-Polymer Two-Axis Artificial Hair Cell Flow Sensor, 2005 IEEE Micro Electro Mechanical Systems, MEMS 279–282 (Miami, FL, January 30 – February 3, 2005)

    Google Scholar 

  429. U.S. Aithal, T.M. Aminabhavi, R.H. Balundgi, S.S. Shukla: Interactions of Organic Solvents with Polyurethane, Polymer Reviews, 30(1), 43–105 (1990)

    Google Scholar 

  430. C.E. Wilkes, J.W. Summers, C.A. Daniels (Eds.): PVC Handbook, Chapter 15 (Hanser, Munich, 2005)

    Google Scholar 

  431. K.H. Lee, H.Y. Kim, Y.M. La, D.R. Lee, N.H. Sung: Influence of a mixing solvent with tetrahydrofuran and N,N-dimethylformamide on electrospun poly(vinyl chloride) nonwoven mats, J. Polym. Sci. B 40, 2259–2268 (2002)

    Article  Google Scholar 

  432. Arkema, Inc., Philadelphia, PA: Kynar PVDF Chemical Resistance Chart, http://www.arkema-inc.com/index.cfm?pag=719, accessed December 24, 2010

  433. J.H. Heiss Jr, J.R. Wylie: Removal of RTV Silicon Rubber Encapsulants, U.S. Patent No. 4,089,704 (1978)

    Google Scholar 

  434. M. Agarwal, R.A. Gunasekaran, P. Coane, K. Varahramyan: Scum-free patterning of SU-8 resist for electroforming applications, J. Micromech. Microeng. 15, 130–135 (2005)

    Article  Google Scholar 

  435. A. Pavolotsky, D. Meledin, C. Risacher, M. Pantaleev, V. Belitsky: Micromachining approach in fabricating of THz waveguide components, Microelectron. J. 36, 683–686 (2005)

    Article  Google Scholar 

  436. P.M. Dentinger, W.M. Clift, S.H. Goods: Removal of SU-8 photoresist for thick film applications, Microelectron. Eng. 61–62, 993–1000 (2002)

    Article  Google Scholar 

  437. A. Jourdain, P. De Moor, S. Pamidighantam, H.A.C. Tilmans: Investigation of the Hermeticity of BCB-Sealed Cavities for Housing (RF-)MEMS Devices, 2002 IEEE Micro Electro Mechanical Systems, MEMS, pp. 677–680 (Las Vegas, NV, January 20–24, 2002)

    Google Scholar 

  438. Dow Chemical: Processing Procedures for Cyclotene 4000 Series Photo BCB Resins, DS2100 Puddle Develop Process, http://www.dow.com/PublishedLiterature/dh_0055/0901b80380055101.pdf?filepath=cyclotene/pdfs/noreg/618-00259.pdf&fromPage=GetDoc, accessed December 24, 2010

  439. R. Kondo, K. Suzuki, S. Sugiyama: Study on Fabrication of High Aspect Ratio Electrostatic Micro Actuators Using LIGA Process, 1998 International Symposium on Micromechatronics and Human Science, pp. 155–160 (Nagoya, Japan, November 25–28, 1998)

    Google Scholar 

  440. E. Bassous: Fabrication of novel three-dimensional microstructures by the anisotropic etching of (100) and (110) silicon, IEEE Trans. Electron Devices ED-25(10), 1178–1185 (1978)

    Article  Google Scholar 

  441. K.E. Bean: Anisotropic etching of silicon, IEEE Trans. Electron Dev. ED-25(10), 1185–1193 (1978)

    Article  Google Scholar 

  442. R.M. Finne, D.L. Klein: A water-amine-complexing agent system for etching silicon, J. Electrochem. Soc. 114(9), 965–970 (1967)

    Article  Google Scholar 

  443. D.L. Kendall: Vertical etching of silicon at very high aspect ratios, Ann. Rev. Mater. Sci. 9, 373–403 (1979)

    Article  Google Scholar 

  444. G.T.A. Kovacs, N.I. Maluf, K.E. Petersen: Bulk micromachining of silicon, Proc. IEEE 86(8), 1536–1551 (1998)

    Article  Google Scholar 

  445. D.B. Lee: Anisotropic etching of silicon, J. Appl. Phys. 40(11), 4569–4574 (1969)

    Article  Google Scholar 

  446. K.E. Petersen: Silicon as a mechanical material, Proc. IEEE 70(5), 420–457 (1982)

    Article  Google Scholar 

  447. H. Seidel, L. Csepregi, A. Heuberger, H. Baumgartel: Anisotropic etching of crystalline silicon in alkaline solutions I. Orientation dependence and behavior of passivation layers, J. Electrochem. Soc. 137(11), 3612–3626 (1990)

    Article  Google Scholar 

  448. H. Seidel, L. Csepregi, A. Heuberger, H. Baumgartel: Anisotropic etching of crystalline silicon in alkaline solutions II. Influence of dopants, J. Electrochem. Soc. 137(11), 3626–3632 (1990)

    Article  Google Scholar 

  449. S.A. Campbell, H. J. Lewerenz (Eds.): Semiconductor Micromachining, vol. 2, Chapters 1–2 (Wiley, Chichester, 1998)

    Google Scholar 

  450. M. Elwenspoek, H.V. Jansen: Silicon micromachining, Chapters 2, 3, 6 and 7 (Cambridge University Press, Cambridge, 1998)

    Google Scholar 

  451. J.W. Gardner, V.K. Varadan, O. O. Awadelkarim: Microsensors, MEMS, and Smart Devices, Chapters 5, 6 (Wiley, Chichester, 2001)

    Google Scholar 

  452. K.R. Williams: Silicon Wet Orientation-Dependent (Anisotropic) Etch Rates, In R. Hull (Ed.): Properties of Crystalline Silicon, Chapter 16.2 (INSPEC, London, 1999)

    Google Scholar 

  453. M. Madou: Fundamentals of Microfabrication, Chapter 4 (CRC, Boca Raton, FL, 1998)

    Google Scholar 

  454. M. Madou: Fundamentals of Microfabrication: The Science of Miniaturization, 2nd edn, Chapter 4 (CRC, Boca Raton, FL, 2002)

    Google Scholar 

  455. W. Menz, J. Mohr, O. Paul: Microsystem Technology, Chapter 6 (Wiley, Weinheim, 2001)

    Google Scholar 

  456. A. Reisman, M. Berkenblit, S.A. Chan, F.B. Kaufman, D.C. Green: The controlled etching of silicon in catalyzed ethylenediamine-pyrocatechol-water solutions, J. Electrochem. Soc. 126(8), 1406–1415 (1979)

    Article  Google Scholar 

  457. I. Zubel, M. Kramkowska: The effect of alcohol additives on etching characteristics in KOH solutions, Sens. Actuators A, 101, 255–261 (2002)

    Article  Google Scholar 

  458. M. Mehregany, S. D. Senturia: Anisotropic etching of silicon in hydrazine, Sens. Actuators 13, 375–390 (1988)

    Article  Google Scholar 

  459. M.J. Declercq, L. Gerzberg, J.D. Meindl: Optimization of the hydrazine-water solution for anisotropic etching of silicon in integrated circuit technology, J. Electrochem. Soc. 122(4), 545–552 (1975)

    Article  Google Scholar 

  460. U. Schnakenberg, W. Benecke, B. Lochel: NH4OH-based etchants for silicon micromachining, Sens. Actuators A21–A23, 1031–1035 (1990)

    Article  Google Scholar 

  461. U. Schnakenberg, W. Benecke, B. Lochel, P. Lange: NH4OH-based etchants for silicon micromachining: Influence of additives and stability of passivation layers, Sens. Actuators A25–A27, 1–7 (1991)

    Google Scholar 

  462. U. Schnakenberg, W. Benecke, P. Lange: TMAHW Etchants for Silicon Micromachining, 1991 International Conference on Solid-State Sensors and Actuators, Transducers ’91, pp. 815–818 (San Francisco, CA, June 24–27, 1991)

    Google Scholar 

  463. J.T.L. Thong, W.K. Choi, C.W. Chong: TMAH etching of silicon and the interation of etching parameters, Sens. Actuators A 63, 243–249 (1997)

    Article  Google Scholar 

  464. M. Shikida, K. Sato, K. Tokoro, D. Uchikawa: Differences in anisotropic etching properties of KOH and TMAH solutions, Sens. Actuators 80, 179–188 (2000)

    Article  Google Scholar 

  465. O. Tabata, R. Asahi, H. Funabashi, K. Shimaoka, S. Sugiyama: Anisotropic etching of silicon in TMAH solutions, Sens. Actuators A 34, 51–57 (1992)

    Article  Google Scholar 

  466. I. Zubel, M. Kramkowska: The effect of isopropyl alcohol on etching rate and roughness of (100) Si surface etched in KOH and TMAH solutions, Sens. Actuators A 93, 138–147 (2001)

    Article  Google Scholar 

  467. E. Steinsland, T. Finstad, A. Hanneborg: Etch rates of (100), (111) and (110) single-crystal silicon in TMAH measured in situ by laser reflectance interferometry, Sens. Actuators 86, 73–80 (2000)

    Article  Google Scholar 

  468. A. Merlos, M. Acero, M.H. Bao, J. Bausells, J. Esteve: TMAH/IPA anisotropic etching characteristics, Sens. Actuators A 37–38, 737–743 (1993)

    Google Scholar 

  469. P.M. Sarro, S. Brida, W.v.d. Vlist: Aluminum Passivation in Saturated TMAHW Solutions for IC-Compatible Microstructures and Device Isolation, SPIE Proceedings, vol. 2879, pp. 242–250 (Austin, TX, September 23, 1996)

    Google Scholar 

  470. M. Sekimura: Anisotropic Etching of Surfactant-Added TMAH Solution, 1999 IEEE Micro Electro Mechanical Systems, MEMS ’99, pp. 650–655 (Orlando, FL, January 17–21, 1999)

    Google Scholar 

  471. P.M. Sarro, D. Brida, W.v.d. Vlist, S. Brida: Effect of surfactant on surface quality of silicon microstructures etched in saturated TMAHW solutions, Sens. Actuators 85, 340–345 (2000)

    Article  Google Scholar 

  472. Transene Company, Inc., Danvers, MA 01923: Preferential Silicon Etchants, http://www.transene.com/si.html, accessed December 24, 2010

  473. C.A. Desmond, C.E. Hunt, S.N. Farrens: The effects of process-induced defects on the chemical selectivity of highly doped boron etch stops in silicon, J. Electrochem. Soc. 141(1), 178–184 (1994)

    Article  Google Scholar 

  474. N.F. Raley, Y. Sugiyama, T. Van Duzer: (100) silicon etch-rate dependence on boron concentration in ethylenediamine-pyrocatachol-water solutions, J. Electrochem. Soc. 131(1), 161–171 (1984)

    Article  Google Scholar 

  475. E. Steinsland, M. Nese, A. Hanneborg, R.W. Bernstein, H. Sandmo, G. Kittilsland: Boron etch-stop in TMAH solutions, Sens. Actuators A 54, 728–732 (1996)

    Article  Google Scholar 

  476. J.T. Borenstein, N.D. Gerrish, M.T. Currie, E.A. Fitzgerald: A New Ultra-Hard Etch-Stop Layer for High Precision Micromachining, 1999 IEEE Micro Electro Mechanical Systems, MEMS ’99, pp. 205–210 (Orlando, FL, January 17–21, 1999)

    Google Scholar 

  477. W.P. Maszara: Silicon-on-insulator by wafer bonding: A review, J. Electrochem. Soc. 138(1), 341–347 (1991)

    Article  Google Scholar 

  478. I.G. Stoev, R.A. Yankov, C. Jeynes: Formation of etch-stop structures utilizing ion-beam synthesized buried oxide and nitride layers in silicon, Sens. Actuators 19, 183–197 (1989)

    Article  Google Scholar 

  479. A. Soderbarg: Fabrication of BESOI-Materials Using Implanted Nitrogen as an Effective Etch Stop Barrier, 1989 IEEE SOS/SOI Technology Conference, pp. 64–65 (Stateline, NV, USA, October 3–5, 1989)

    Google Scholar 

  480. V. Lehmann, K. Mitani, D. Feijoo, U. Gosele: Implanted carbon: An effective etch-stop in silicon, J. Electrochem. Soc. 138(5), L3–L4 (1991)

    Article  Google Scholar 

  481. S.S. Wang, V.M. McNeil, M.A. Schmidt: An etch-stop utilizing selective etching of N-Type silicon by pulsed potential anodization, J. Microelectromech. Syst. 1(4), 187–192 (1992)

    Article  Google Scholar 

  482. J.W. Faust Jr, E.D. Palik: Study of the orientation dependent etching and initial anodization of si in aqueous KOH, J. Electrochem. Soc. 130(6), 1413–1420 (1983)

    Article  Google Scholar 

  483. T.N. Jackson, M.A. Tischler, K.D. Wise: An electrochemical p-n junction etch-stop for the formation of silicon microstructures, IEEE Electron Device Lett. EDL-2(2), 44–45 (1981)

    Article  Google Scholar 

  484. R.L. Meek: Electrochemically thinned N/N+ epitaxial silicon – Method and applications, J. Electrochem. Soc. 118(7), 1240–1246 (1971)

    Article  Google Scholar 

  485. H.J.A. van Dijk, J. de Jonge: Preparation of thin silicon crystals by electrochemical thinning of epitaxially grown structures, J. Electrochem. Soc. 117(4), 554–554 (1970)

    Article  Google Scholar 

  486. M.J.J. Theunissen, J.A. Appels, W.H.C.G. Verkuylen: Application of preferential electrochemical etching of silicon to semiconductor device technology, J. Electrochem. Soc. 117(7), 959–965 (1970)

    Article  Google Scholar 

  487. C.J.M. Eijkel, J. Branebjerg, M. Elwenspoek, F.C.M. van de Pol: A new technology for micromachining of silicon: Dopant selective HF anodic etching for the realization of low-doped monocrystalline silicon structures, IEEE Electron Device Lett. 11(12), 588–589 (1990)

    Article  Google Scholar 

  488. C.P. Wen, K.P. Weller: Preferential electrochemical etching of P+ silicon in an aqueous HF-H2SO4 electrolyte, J. Electrochem. Soc. 119(4), 547–548 (1972)

    Article  Google Scholar 

  489. Y. Linden, L. Tenerz, J. Tiren, B. Hok: Fabrication of three-dimensional silicon structures by means of doping-selective etching (DSE), Sens. Actuators 16, 67–82 (1989)

    Article  Google Scholar 

  490. B. Kloeck, S.D. Collins, N.F. De Rooij, R.L. Smith: Study of electrochemical etch-stop for high-precision thickness control of silicon membranes, IEEE Trans. Electron Dev. 36(4), 663–669 (1989)

    Article  Google Scholar 

  491. R.L. Smith, B. Kloeck, N. De Rooij, S.D. Collins: The potential dependence of silicon anisotropic etching in KOH at 60C, J. Electroanal. Chem. 238, 103–113 (1987)

    Article  Google Scholar 

  492. K.J. Ma, K. Najafi: A New Capacitive Electro-Chemical Etch-Stop Technique, 1994 IEEE Micro Electro Mechanical Systems, MEMS ’94, pp. 158–163 (Oiso, Japan, January 25–28, 1994)

    Google Scholar 

  493. L. Smith, A. Soderbarg: Electrochemical etch stop obtained by accumulation of free carriers without p-n junction, J. Electrochem. Soc. 140(1), 271–275 (1993)

    Article  Google Scholar 

  494. M.K. Andrews, G.C. Turner: bipolar effects in the fabrication of silicon membranes by the anodic etch stop, Sens. Actuators A 29, 49–57 (1991)

    Article  Google Scholar 

  495. M. Hirata, K. Suzuki, H. Tanigawa: Silicon diaphragm pressure sensors fabricated by anodic oxidation etch-stop, Sens. Actuators 13, 63–70 (1988)

    Article  Google Scholar 

  496. M. Hirata, S. Suwazono, H. Tanigawa: Diaphragm thickness control in silicon pressure sensors using an anodic oxidation etch-stop, J. Electrochem. Soc. 134(8), 2037–2041 (1987)

    Article  Google Scholar 

  497. C.M.A. Ashruf, P.J. French, P.M.M.C. Bressers, P.M. Sarro, J.J. Kelly: A new contactless electrochemical etch-stop based on a gold/silicon/TMAH galvanic cell, Sens. Actuators A 66, 284–291 (1998)

    Article  Google Scholar 

  498. P.J. French, M. Nagao, M. Esashi: Electrochemical etch-stop in TMAH without externally applied bias, Sens. Actuators A 56, 279–280 (1996)

    Article  Google Scholar 

  499. C.M.A. Ashruf, P.J. French, P.M. Sarro, R. Kazinczi, X.H. Xia, J.J. Kelly: Galvanic etching for sensor fabrication, J. Micromech. Microeng. 10, 505–515 (2000)

    Article  Google Scholar 

  500. M.C. Acero, J. Esteve, C. Burrer, A. Gotz: Electrochemical etch-stop characteristics of TMAH:IPA solutions, Sens. Actuators A 46–47, 22–26 (1995)

    Google Scholar 

  501. S.A. Campbell, H.J. Lewerenz (Eds.): Semiconductor Micromachining, vol. 2, Chapter 2 (Wiley, Chichester, 1998)

    Google Scholar 

  502. H. Nielsen, D. Hackleman: Some illumination on the mechanism of SiO2 etching in HF solutions, J. Electrochem. Soc. 130(3), 708–712 (1983)

    Article  Google Scholar 

  503. T. Yoshida, T. Kudo, K. Ikeda: Photo-Induced Preferential Anodization for Fabrication of Monocrystalline Micromechanical Structures, Proceedings of MEMS ’92, pp. 56–61 (Travemunde, Germany, February 4–7, 1992)

    Google Scholar 

  504. E. Peeters, D. Lapadatu, R. Puers, W. Sansen: PHET, an electrodeless photovoltaic electrochemical etchstop technique, J. Microelectromech. Syst. 3(3), 113–123 (1994)

    Article  Google Scholar 

  505. R.W. Ade, E.E. Harstead, A.H. Amirfazli, T. Cacouris, E.R. Fossum, P.R. Prucnal, R.M. Osgood Jr: Silicon photodetector structure for direct coupling of optical fibers to integrated circuits, IEEE Trans. Electron Devices, ED-34(6), 1283–1289 (1987)

    Article  Google Scholar 

  506. L. Tenerz, B. Hok: Micromachining of three-dimensional silicon structures using photoelectrochemical etching, Electron. Lett. 21(25–26), 1207–1209 (1985)

    Article  Google Scholar 

  507. M. Garin, T. Trifonov, A. Rodriguez, L.F. Marsal, R. Alcubilla: Optical PRoperties of 3D macroporous silicon structures, Mater. Sci. Eng. B 149, 275–280 (2008)

    Article  Google Scholar 

  508. J. Schilling, F. Muller, S. Matthias, R.B. Wehrspohn, U. Gosele: Three-dimensional photonic crystals based on macroporous silicon with modulated pore diameter, Appl. Phys. Lett. 78(9), 1180–1182 (2001)

    Article  Google Scholar 

  509. R. Mlcak, H.L. Tuller, P. Greiff, J. Sohn: Photo-Assisted Electrochemical Machining of Micromechanical Structures, Proceedings of MEMS ’93, pp. 225–229 (Fort Lauderdale, FL, February 7–10, 1993)

    Google Scholar 

  510. R. Mlcak, H.L. Tuller, P. Greiff, J. Sohn, L. Niles: Photoassisted electrochemical micromachining of silicon in hf electrolytes, Sens. Actuators A 40, 49–55 (1994)

    Article  Google Scholar 

  511. E.H. Klaassen, R.J. Reay, C. Storment, G.T. A. Kovacs: Micromachined thermally isolated circuits, Sens. Actuators A 58, 43–50 (1997)

    Article  Google Scholar 

  512. K. Biswas, S. Kal: Etch characteristics of KOH, TMAH and dual doped TMAH for bulk micromachining of silicon, Microelectron. J. 37, 519–525 (2006)

    Article  Google Scholar 

  513. A.E.T. Kuiper, E.G.C. Lathouwers: room-temperature HF vapor-phase cleaning for low-pressure chemical vapor deposition of epitaxial Si and SiGe layers, J. Electrochem. Soc. 139(9), 2594–2599 (1992)

    Article  Google Scholar 

  514. E. Hoffman, B. Warneke, E. Kruglick, J. Weigold, K.S.J. Pister: 3D Structures with Piezoresistive Sensors in Standard CMOS, 1995 IEEE Micro Electro Mechanical Systems, MEMS ’95, pp. 288–293 (Amsterdam, January 29 – February 2, 1995)

    Google Scholar 

  515. P.B. Chu, J.T. Chen, R. Yeh, G. Lin, J.C.P. Huang, B.A. Warneke, S.J. Pister: Controlled pulse-etching with xenon difluoride, 1997 International Conference on Solid-State Sensors and Actuators, Transducers ’97, pp. 665–668 (Chicago, IL, June 16–19, 1997)

    Google Scholar 

  516. K. Hamaguchi, T. Tsuchiya, K. Shimaoka, H. Funabashi: 3-nm gap fabrication using gas phase sacrificial etching for quantum devices, 2004 IEEE Micro Electro Mechanical Systems, MEMS 2004, pp. 418–421 (Maastricht, The Netherlands, Jan 25–29, 2004)

    Google Scholar 

  517. J.D. Brazzle, M.R. Dokmeci, C.H. Mastrangelo: Modeling and characterization of sacrificial polysilicon etching using vapor-phase xenon difluoride, 2004 IEEE Micro Electro Mechanical Systems, MEMS 2004, pp. 737–740 (Maastricht, The Netherlands, Jan 25–29, 2004)

    Google Scholar 

  518. G. Xuan, T.N. Adam, J. Suehle, E. Fitzgerald, P.Lv,N. Sustersic, M.J. Coppinger, J. Kolodzey: Xenon difluoride dry etching of Si, SiGe alloy and Ge, 2006 International SiGe Technology and Device Meeting, pp. 142–143 (Princeton, NJ, October 30, 2006)

    Google Scholar 

  519. T. Zhu, P. Argyrakis, E. Mastropaolo, K.K. Lee, R. Cheung: Dry etch release processes for micromachining applications, J. Vac. Sci. Technol. B 25(6), 2553–2557 (2007)

    Article  Google Scholar 

  520. F. Ayazi, K. Najafi: High-Aspect-Ratio Dry-Release Poly-Silicon MEMS Technology for Inertial-Grade Microgyroscopes, IEEE Position Location and Navigation Symposium, pp. 304–308 (San Diego, CA, March 13–16, 2000)

    Google Scholar 

  521. P.F. Van Kessel, L. Hornbeck, R.E. Meier, M.R. Douglass: A MEMS-based projection display, Proc. IEEE 86(8), 1687–1704 (1998)

    Article  Google Scholar 

  522. C.W. Storment, D.A. Borkholder, V. Westerlind, J.W. Suh, N.I. Maluf, G.T.A. Kovacs: Flexible, dry-released process for aluminum electrostatic actuators, J. Microelectromech. Syst. 3(3), 90–96 (1994)

    Article  Google Scholar 

  523. D.W. Burns: unpublished result

    Google Scholar 

  524. R.T. Howe, R.S. Muller: Polycrystalline silicon micromechanical beams, J. Electrochem. Soc. 130(6), 1420–1423 (1983)

    Article  Google Scholar 

  525. H. Guckel, D.W. Burns: Planar Processed Polysilicon Sealed Cavities for Pressure Transducer Arrays, 1984 International Electron Devices Meeting, vol. 30, pp. 223–225 (San Francisco, CA, December, 1984)

    Article  Google Scholar 

  526. D.J. Monk, D.S. Soane, R.T. Howe: Hydrofluoric acid etching of silicon dioxide sacrificial layers I. Experimental observations, J. Electrochem. Soc. 141(1), 264–269 (1994)

    Article  Google Scholar 

  527. D.J. Monk, D.S. Soane, R.T. Howe: Hydrofluoric acid etching of silicon dioxide sacrificial layers II. Modeling, J. Electrochem. Soc. 141(1), 270–274 (1994)

    Article  Google Scholar 

  528. S.-J. Lee, S.-Y. Roh, C.-J. Kim: A New sacrificial layer improving the structural characteristics of micro electromechanical systems, J. Korean Phys. Soc. 32(5), 731–734 (1998)

    Google Scholar 

  529. J. Anguita, F. Briones: HF/H2O vapor etching of SiO2 sacrificial layer for large-area surface-micromachined membranes, Sens. Actuators A 64, 247–251 (1998)

    Article  Google Scholar 

  530. H. Cao, R.J. Weber: Vapor HF Sacrificial Etching for Phosphorus Doped Polycrystalline Silicon Membrane Structures, IEEE International Conference on Electro/Information Technology, EIT, pp. 289–293 (Ames, IA, USA, May 18–20, 2008)

    Google Scholar 

  531. A. Witvrouw, B. Du Bois, P. De Moor, A. Verbist, C. Van Hoof, H. Bender, C. Baert: A comparison between wet HF etching and vapor HF etching for sacrificial oxide removal, Proc. SPIE, 4174, 130–141 (2000)

    Article  Google Scholar 

  532. K. Hjort: Sacrificial etching of III-V compounds for micromechanical devices, J. Micromech. Microeng. 6, 370–375 (1996)

    Article  Google Scholar 

  533. M.Y. Sim, S. Gleixner: Studying the Etch Rates and Selectivity of SiO2 and Al in BHF Solutions, 16th Biennial University/Government/Industry Microelectronics Symposium, pp. 225–228 (San Jose, CA, June 25–28, 2006)

    Google Scholar 

  534. R. Lanz, P. Carazzetti, P. Muralt: Surface Micromachined BAW Resonators Based on AlN, IEEE Ultrasonics Symposium, pp. 981–983 (Munich, Germany, October 8–11, 2002)

    Google Scholar 

  535. A.E. Franke, D. Bilic, D.T. Chang, P.T. Jones, T.-J. King, R.T. Howe, G.C. Johnson: Post-CMOS Integration of Germanium Microstructures, 12th International Conference on Micro-Electro-Mechanical Systems MEMS ’99, pp. 630–637 (Orlando, FL, January 17–21, 1999)

    Google Scholar 

  536. A. Maciossek, B. Lochel, H.-J. Quenzer, B. Wagner, S. Schulze, J. Noetzel: Galvanoplating and sacrificial layers for surface micromachining, Microelectron. Eng. 27, 503–508 (1995)

    Article  Google Scholar 

  537. D.P. Kelly, M.W. Pruessner, K. Amarnath, M. Datta, S. Kanakaraju, L.C. Calhoun, R. Ghodssi: Monolithic suspended optical waveguides for InP MEMS, IEEE Photon. Technol. Lett. 16(5), 1298–1300 (2004)

    Article  Google Scholar 

  538. A.B. Frazier, M.G. Allen: High Aspect Ratio Electroplated Microstructures Using a Photosensitive Polyimide Process, 1992 IEEE Micro Electro Mechanical Systems, MEMS ’92, pp. 87–92 (Travemunde, Germany, February 4–7, 1992)

    Google Scholar 

  539. C.G. Keller, R.T. Howe: Nickel-Filled Hexsil Thermally Actuated Tweezers, 1995 International Conference on Solid-State Sensors and Actuators, Transducers ’95, pp. 376–379 (Stockholm, Sweden, June 25–29, 1995)

    Google Scholar 

  540. Z. Cui, R.A. Lawes: A new sacrificial layer process for the fabrication of micromechanical systems, J. Micromech. Microeng. 7, 128–130 (1997)

    Article  Google Scholar 

  541. H. Guckel, K.J. Skrobis, T.R. Christenson, J. Klein, S. Han, B. Choi, E.G. Lovell: Fabrication of Assembled Micromechanical Components via Deep X-Ray Lithography, 1991 IEEE Micro Electro Mechanical Systems, MEMS ’91, pp. 74–79 (Nara, Japan, January 30 – February 2, 1991)

    Google Scholar 

  542. H. Guckel: High-aspect-ratio micromachining via deep x-ray lithography, Proc. IEEE 86(8), 1586–1593 (1998)

    Article  Google Scholar 

  543. H. Guckel, T.R. Christenson, K.J. Skrobis, D.D. Denton, B. Choi, E.G. Lovell, J.W. Lee, S.S. Bajikar, T.W. Chapman: Deep X-Ray and UV Lithographies for Micromechanics, 4th IEEE Solid-State Sensor and Actuator Workshop, pp. 118–122 (Hilton Head Island, SC, June 4–7, 1990)

    Google Scholar 

  544. H. Guckel, K.J. Skrobis, T.R. Christenson, J. Klein: Micromechanics for actuators via deep x-ray lithography, Proc. SPIE 2194, 2–10 (1994)

    Article  Google Scholar 

  545. X. Huang, G. Liu, X. Ying, J. Wang, Y. Guo, C. Kang, Y. Tian: Applications of thick sacrificial-layer of zinc in liga process, Microsyst. Technol. 14, 1257–1261 (2008)

    Article  Google Scholar 

  546. S.D. Leith, D.T. Schwartz: In-situ fabrication of sacrificial layers in electrodeposited NiFe microstructures, J. Micromech. Microeng. 9, 97–104 (1999)

    Article  Google Scholar 

  547. K.E. Petersen: Micromechanical light modulator array fabricated on silicon, Appl. Phys. Lett. 31(8), 521–523 (1977)

    Article  Google Scholar 

  548. K. Walsh, J. Norville, Y.-C. Tai: Photoresist as a Sacrificial Layer by Dissolution in Acetone, 2001 IEEE Micro Electro Mechanical Systems, MEMS, pp. 114–117 (Interlaken, Sweden, January 21–25, 2001)

    Google Scholar 

  549. M.A. Schmidt, R.T. Howe, S.D. Senturia, J.H. Haritonidis: Design and calibration of a microfabricated floating-element shear-stress sensor, IEEE Trans. Electron Dev. 35(6), 750–757 (1988)

    Article  Google Scholar 

  550. O. Paul, D. Westberg, M. Hornung, V. Ziebart, H. Baltes: Sacrificial Aluminum Etching for CMOS Microstructures, 1997 IEEE Micro Electro Mechanical Systems, MEMS ’97, pp. 523–528 (Nagoya, Japan, January 26–30, 1997)

    Google Scholar 

  551. K. Suzuki, I. Shimoyama, H. Miura: Insect-model based microrobot with elastic hinges, J. Micromech. Syst. 3(1), 4–9 (1994)

    Article  Google Scholar 

  552. C.E. White, T. Anderson, C.L. Henderson, H.D. Rowland, W.P. King: Microsystems manufacturing via embossing of photodefinable thermally sacrificial materials, Proc. SPIE 5374, 361–370 (2004)

    Article  Google Scholar 

  553. S. Chang, W. Eaton, J. Fulmer, C. Gonzalez, B. Underwood, J. Wong, R.L. Smith: Micromechanical Structures in Amorphous Silicon, 1991 International Conference on Solid-State Sensors and Actuators, Transducers ’91, pp. 751–754 (San Francisco, CA, June 24–27, 1991)

    Article  Google Scholar 

  554. L.J. Spangler, K.D. Wise: A New Silicon-on-Glass Process for Integrated Sensors, IEEE Solid-State Sensor and Actuator Workshop, pp. 140–143 (Hilton Head Island, SC, June 6–9, 1988)

    Google Scholar 

  555. S.T. Cho: A batch dissolved wafer process for low cost sensor applications, Proc. SPIE 2639(10), 10–17 (1995)

    Article  Google Scholar 

  556. T.E. Bell, P.T.J. Gennissen, D. DeMunter, M. Kuhl: Porous silicon as a sacrificial material, J. Micromech. Microeng. 6, 361–369 (1996)

    Article  Google Scholar 

  557. P. Steiner, A. Richter, W. Lang: Using porous silicon as a sacrificial layer, J. Micromech. Microeng. 3, 32–36 (1993)

    Article  Google Scholar 

  558. W. Lang, P. Steiner, A. Richter, K. Marusczyk, G. Weimann, H. Sandmaier: Application of porous silicon as a sacrificial layer, Sens. Actuators A 43, 239–242 (1994)

    Article  Google Scholar 

  559. T. Bischoff, G. Muller, W. Welser, F. Koch: Frontside micromachining using porous-silicon sacrificial-layer technologies, Sens. Actuators A 60, 228–234 (1997)

    Article  Google Scholar 

  560. B.L. Bircumshaw, M.L. Wasilik, E.B. Kim, Y.R. Su, H. Takeuchi, C.W. Low, G. Liu, A.P. Pisano, T.-J. King, R.T. Howe: Hydrogen Peroxide Etching and Stability of P-Type Poly-SiGe Films, 2004 IEEE Micro Electro Mechanical Systems, MEMS, pp. 514–519 (Maastricht, The Netherlands, January 25–29, 2004)

    Google Scholar 

  561. P.R. Scheeper, W. Olthuis, P. Bergveld: Fabrication of a Subminiature Silicon Condenser Microphone Using the Sacrificial Layer Technique, 1991 International Conference on Solid-State Sensors and Actuators, Transducers ’91, pp. 408–411 (San Francisco, CA, June 24–27, 1991)

    Google Scholar 

  562. W. Kronast, B. Muller, W. Siedel, A. Stoffel: Single-chip condenser microphone using porous silicon as sacrificial layer for the air gap, Sens. Actuators A 87, 188–193 (2001)

    Article  Google Scholar 

  563. A.H. Ma, S.-Ho Tsang, M. Parameswaran, A.M. Leung: Spin-On Glass as a Sacrificial Layer for Patterned Metallization of Compliant SU-8 Microstructures, Canadian Conference on Electrical and Computer Engineering, CCECE 2007, pp. 288–291 (Vancouver, BC, April 22–26, 2007)

    Google Scholar 

  564. V. Conedera, L. Salvagnac, N. Fabre, F. Zamkotsian, H. Camon: Surface micromachining technology with two SU-8 structural layers and sol-gel, SU-8 or SiO2/sol-gel sacrificial layers, J. Micromech. Microeng. 17, N52–N57 (2007)

    Article  Google Scholar 

  565. K. Yamada, T. Kuriyama: A New Modal Mode Controlling Method for a Surface Format Surrounding Mass Accelerometer, 1991 International Conference on Solid-State Sensors and Actuators, Transducers ’91, pp. 655–658 (San Francisco, CA, June 24–27, 1991)

    Google Scholar 

  566. N.C. MacDonald, L.Y. Chen, J.J. Yao, Z.L. Zhang, J.A. McMillan, D.C. Thomas: Selective chemical vapor deposition of tungsten for microelectromechanical structures, Sens. Actuators 20, 123–133 (1989)

    Article  Google Scholar 

  567. L.-Y. Chen, N.C. MacDonald: A Selective CVD Tungsten Process for Micromotors, 1991 International Conference on Solid-State Sensors and Actuators, Transducers ’91, pp. 739–742 (San Francisco, CA, June 24–27, 1991)

    Google Scholar 

  568. J.C. North, T.E. McGahan, D.W. Rice, A.C. Adams: Tapered windows in phosphorus-doped SiO2 by ion implantation, IEEE Trans. Electron Devices ED-25(7), 809–812 (1978)

    Article  Google Scholar 

  569. J. Gotzlich, H. Ryssel: Tapered windows in SiO2, Si3N4, and polysilicon layers by ion implantation, J. Electrochem. Soc. 128(3), 617–619 (1981)

    Article  Google Scholar 

  570. A.S. Tenney, M. Ghezzo: Etch rates of doped oxides in solutions of buffered HF, J. Electrochem. Soc. 120(8), 1091–1095 (1973)

    Article  Google Scholar 

  571. L.K. White: Bilayer taper etching of field oxides and passivation layers, J. Electrochem. Soc. 127(12), 2687–2693 (1980)

    Article  Google Scholar 

  572. S. Sugiyama, T. Suzuki, K. Kawahata, K. Shimaoka, M. Takigawa, I. Igarashi: Micro-Diaphragm Pressure Sensor, International Electron Devices Meeting, pp. 184–187 (Los Angeles, CA, December 7–10, 1986)

    Google Scholar 

  573. C.H. Mastrangelo, C.H. Hsu: Mechanical stability and adhesion of microstructures under capillary forces-part i: basic theory, J. Microelectromech. Syst. 2(1), 33–43 (1993)

    Article  Google Scholar 

  574. C.H. Mastrangelo, C.H. Hsu: Mechanical stability and adhesion of microstructures under capillary forces-part ii: experiments, J. Microelectromech. Syst. 2(1), 44–55 (1993)

    Article  Google Scholar 

  575. R.C. Weast (Ed.): CRC Handbook of Chemistry and Physics, 60th edn. (CRC Press, Boca Raton, FL), 1979/1980

    Google Scholar 

  576. G.T. Mulhern, D.S. Soane, R.T. Howe: Supercritical Carbon Dioxide Drying of Microstructures, 1993 International Conference on Solid-State Sensors and Actuators, Transducers ’93, pp. 296–299 (Yokohama, Japan, June 7–10, 1993)

    Google Scholar 

  577. C.W. Dyck, J.H. Smith, S.L. Miller, E.M. Russick, C.L.J. Adkins: Supercritical Carbon Dioxide Solvent Extraction from Surface-Micromachined Micromechanical Structures, SPIE Micromachining and Microfabrication (Austin, TX, October 14–15, 1996)

    Google Scholar 

  578. D.W. Burns: unpublished result (1988)

    Google Scholar 

  579. H. Guckel, J.J. Sniegowski, T.R. Christenson: Fabrication of micromechanical devices from polysilicon films with smooth surfaces, Sens.Actuators 20, 117–122 (1989)

    Article  Google Scholar 

  580. N. Takeshima, K.J. Gabriel, M. Ozaki, J. Takahashi, H. Horiguchi, H. Fujita: Electrostatic Parallelgram Actuators, 1991 International Conference on Solid-State Sensors and Actuators, Transducers ’91, pp. 63–66 (San Francisco, CA, June 24–27, 1991)

    Google Scholar 

  581. R. Legtenberg, H.A.C. Tilmans: Electrostatically driven vacuum-encapsulated polysilicon resonators: Part I. Design and fabrication, Sens. Actuators A 45, 57–66 (1994)

    Article  Google Scholar 

  582. J.Y. Kim, C.-J. Kim: Comparative Study of Various Release Methods for Polysilicon Surface Micromachining, 1997 IEEE Micro Electro Mechanical Systems, MEMS ’97, pp. 442–447 (Nagoya, Japan, January 26–30, 1997)

    Google Scholar 

  583. L. Alley, G.J. Cuan, R.T. Howe, K. Komvopoulos: The Effect of Release-Etch Processing on Surface Microstructure Stiction, Technical Digest of the 5th Solid-State Sensor and Actuator Workshop, pp. 202–207 (Hilton Head Island, SC, USA, June 22–25, 1992)

    Google Scholar 

  584. W.R. Ashurst, C. Yau, C. Carraro, C. Lee, G.J. Kluth, R.T. Howe, R. Maboudian: Alkene based monolayer films as anti-stiction coatings for polysilicon MEMS, Sens. Actuators A 91, 239–248 (2001)

    Article  Google Scholar 

  585. U. Srinivasan, M.R. Houston, R.T. Howe, R. Maboudian: Alkyltrichlorosilane-based self-assembled monolayer films for stiction reduction in silicon micromachines, J. Microelectromech. Syst. 7(2), 252–260 (1998)

    Article  Google Scholar 

  586. B.H. Kim, T.D. Chung, C.H. Oh, K. Chun: A new organic modifier for anti-stiction, J. Microelectromech. Syst. 10(1), 33–40 (2001)

    Article  Google Scholar 

  587. W.R. Ashurst, C. Carraro, R. Maboudian, W. Frey: Wafer level anti-stiction coatings for MEMS, Sens. Actuators A 104, 213–221 (2003)

    Article  Google Scholar 

  588. J.R. Martin: Process for Wafer Level Treatment to Reduce Stiction and Passivate Micromachined Surfaces and Compounds Used Therefor, U.S. Patent No. 7,364,942 (2008)

    Google Scholar 

  589. M. Ito, K. Yamagata, H. Miyabayashi, T. Yonehara: Scalability Potential in ELTRAN® SOI-Epi Wafer, IEEE International SOI Conference, pp. 10–11 (Wakefield, MA, USA, October 2–5, 2000)

    Google Scholar 

  590. T. Yonehara, K. Sakaguchi, N. Sato: Epitaxial layer transfer by bond and etch back of porous Si, Appl. Phys. Lett. 64(16), 2108–2110 (1994)

    Article  Google Scholar 

  591. G. Barillaro, A. Nannini, M. Piotto: Electrochemical etching in HF solutions for silicon micromachining, Sens. Actuators A 102, 195–201 (2002)

    Article  Google Scholar 

  592. H. Foll, M. Christophersen, J. Carstensen, G. Hasse: Formation and application of porous silicon, Mater. Sci. Eng. R, 39, 93–141 (2002)

    Article  Google Scholar 

  593. V. Lehmann: Porous silicon – A new material for MEMS, 1996 IEEE Micro Electro Mechanical Systems, MEMS '96, pp. 1–6, (San Diego, CA, Feb. 11–15, 1996)

    Google Scholar 

  594. V. Lehmann: The physics of macropore formation in low doped n-type silicon, J. Electrochem. Soc. 140(10), 2836–2843 (1993)

    Article  Google Scholar 

  595. P. Steiner, W. Lang: Micromachining applications of porous silicon, Thin Solid Films 225, 52–58 (1995)

    Article  Google Scholar 

  596. P.A. Kohl, F.W. Ostermayer Jr: Photoelectrochemical methods for III-V compound semiconductor device processing, Ann. Rev. Mater. Sci. 19, 379–399 (1989)

    Google Scholar 

  597. C. Levy-Clement, A. Lagoubi, M. Tomkiewicz: Morphology of porous n-type silicon obtained by photoelectrochemical etching I. Correleations with material and etching parameters, J. Electrochem. Soc. 141(4), 958–967 (1994)

    Article  Google Scholar 

  598. R.L. Smith, S.D. Collins: Porous silicon formation mechanisms, J. Appl. Phys. 71(8), R1–R22 (1992)

    Article  Google Scholar 

  599. D.R. Turner: Electropolishing silicon in hydrofluoric acid solutions, J. Electrochem. Soc. 105(7), 402–408 (1958)

    Article  Google Scholar 

  600. S.S. Tsao, T.R. Guilinger, M.J. Kelly, P.J. Clews: Multilevel porous silicon formation, J. Electrochem. Soc. 136(2), 586–587 (1989)

    Article  Google Scholar 

  601. H. Takai, T. Itoh: Isolation of silicon film grown on porous silicon layer, J. Electron. Mater. 12(6), 973–982 (1983)

    Article  Google Scholar 

  602. A. Richter, P. Steiner, F. Kozlowski, W. Lang: Current-induced light emission from a porous silicon device, IEEE Electron Device Lett. 12(12), 691–692 (1991)

    Article  Google Scholar 

  603. V. Lehmann: The physics of macroporous silicon formation, Thin Solid Films 255, 1–4 (1995)

    Article  Google Scholar 

  604. R.W. Fathauer, T. George, A. Ksendzov, R.P. Vasquez: Visible luminescence from silicon wafers subjected to stain etches, Appl. Phys. Lett. 60(8), 995–997 (1992)

    Article  Google Scholar 

  605. S. Liu, C. Palsule, S. Yi, S. Gangopadhyay: Characterization of stain-etched porous silicon, Phys. Rev. B 49(15), 10318–10325 (1994)

    Article  Google Scholar 

  606. K. Imai, H. Unno: FIPOS (Full Isolation by Porous Oxidized Silicon) technology and its application to LSI’s, IEEE Trans. Electron Devices ED-31(3), 297–302 (1984)

    Article  Google Scholar 

  607. F. Beck: Integrated Circuit Failure Analysis, A Guide to Preparation Techniques, Chapters 3, 6 (Wiley, Chichester, 1998)

    Google Scholar 

  608. C.A. Harper (Ed.): Handbook of Materials and Processes for Electronics, Chapter 7 (McGraw-Hill, New York, NY, 1970)

    Google Scholar 

  609. W.R. Runyan, T.J. Shaffner: Semiconductor Measurements and Instrumentation, 2nd edn, Chapters 2, 9 (McGraw-Hill, New York, NY, 1998)

    Google Scholar 

  610. K.R. Williams: Silicon Preferential (Defect Delineation) Etch Rates, In R. Hull (Ed.), Properties of Crystalline Silicon, Chapter 16.3 (INSPEC, London, 1999)

    Google Scholar 

  611. W.R. Runyan, T.J. Shaffner: Semiconductor Measurements and Instrumentation, 2nd edn., Chapter 2 (McGraw-Hill, New York, NY 1998)

    Google Scholar 

  612. S.A. Campbell, H.J. Lewerenz (Eds.): Semiconductor Micromachining, vol. 2, Chapter 10 (Wiley, Chichester, 1998)

    Google Scholar 

  613. W.R. Runyan, T.J. Shaffner: Semiconductor Measurements and Instrumentation, 2nd edn., Chapter 9 (McGraw-Hill, New York, NY, 1998)

    Google Scholar 

  614. T.L. Chu, J.R. Gavaler: Stacking faults in vapor grown silicon, J. Electrochem. Soc. 110(5), 388–393 (1963)

    Article  Google Scholar 

  615. W.C. Dash: Copper precipitation on dislocations in silicon, J. Appl. Phys. 27(10), 1193–1195 (1956)

    Article  Google Scholar 

  616. H. Robbins: Junction delineation in silicon, J. Electrochem. Soc. 109(1), 63–64 (1962)

    Article  MathSciNet  Google Scholar 

  617. C.S. Fuller, J.A. Ditzenberger: Diffusion of donor and acceptor elements in silicon, J. Appl. Phys. 27(5), (1956)544–553

    Article  Google Scholar 

  618. P.J. Whoriskey: Two chemical stains for marking p-n junctions in silicon, J. Appl. Phys. 29(5), 867–868 (1958)

    Article  Google Scholar 

  619. C.P. Wu, E.C. Douglas, C.W. Mueller, R. Williams: Techniques for lapping and staining ion-implanted layers, J. Electrochem. Soc. 126(11), 1982–1988 (1979)

    Article  Google Scholar 

  620. T. Mills, E.W. Sponheimer: Precision VLSI Cross Sectioning and Staining, Proceedings of 20th Annual Reliability Physics Symposium, pp. 214–220 (San Diego, CA, March 30–31, 1982)

    Google Scholar 

  621. B.L. Sopori: A new defect etch for polycrystalline silicon, J. Electrochem. Soc. 131(3), 667–672 (1984)

    Article  Google Scholar 

  622. D.G. Schimmel: Defect etch for <100> silicon evaluation, J. Electrochem. Soc. 126(3), 479–483 (1979)

    Article  Google Scholar 

  623. V.D. Archer: Methods for defect evaluation of thin <100> oriented silicon epitaxial layers using a wet chemical etch, J. Electrochem. Soc. 129(9), 2074–2076 (1982)

    Article  Google Scholar 

  624. K.H. Yang: An etch for delineation of defects in silicon, J. Electrochem. Soc. 131(5), 1140–1145 (1984)

    Article  Google Scholar 

  625. M. Wright Jenkins: A new preferential etch for defects in silicon crystals, J. Electrochem. Soc. 124(5), (1977)757–762

    Article  Google Scholar 

  626. Transene Company, Inc., Danvers, MA 01923: Wright Etchant, http://www.transene.com/wright.html, accessed December 24, 2010

  627. T.C. Chandler: MEMC Etch – A chromium trioxide-free replacement for delineating dislocations and slip in silicon, J. Electrochem. Soc. 137(3), 944–948 (1990)

    Article  Google Scholar 

  628. F. Secco d’ Aragona: Dislocation etch for (100) planes in silicon, J. Electrochem. Soc. 119(7), 948–951 (1972)

    Article  Google Scholar 

  629. R.T. Howe, R.S. Muller: Polycrystalline and amorphous silicon micromechanical beams – Annealing and mechanical properties, Sens. Actuators 4, 447–454 (1983)

    Article  Google Scholar 

  630. W.A. Pliskin, R.P. Gnall: Evidence for oxidation growth at the oxide-silicon interface from controlled etch studies, J. Electrochem. Soc. 111(7), 872–873 (1964)

    Article  Google Scholar 

  631. H. Baltes, O. Brand, G.K. Fedder, C. Hierold, J. Korvink, O. Tabata (Eds.): Advanced Micro and Nanosystems, vol. 2, CMOS-MEMS (Wiley-VCH, Weinheim, 2005)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to David W. Burns .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 David W. Burns. Published by Springer Science+Business Media, LLC, with permission

About this chapter

Cite this chapter

Burns, D.W. (2011). MEMS Wet-Etch Processes and Procedures. In: Ghodssi, R., Lin, P. (eds) MEMS Materials and Processes Handbook. MEMS Reference Shelf, vol 1. Springer, Boston, MA. https://doi.org/10.1007/978-0-387-47318-5_8

Download citation

  • DOI: https://doi.org/10.1007/978-0-387-47318-5_8

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-47316-1

  • Online ISBN: 978-0-387-47318-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics