Skip to main content

Dry Etching for Micromachining Applications

  • Chapter
  • First Online:

Part of the book series: MEMS Reference Shelf ((MEMSRS,volume 1))

Abstract

Dry etching processes provide the tools to machine precision high-aspect-ratio structures that form the basic building blocks of microelectromechanical systems. Dry etching processes consist of (1) purely chemical (spontaneous gas phase etching), (2) purely physical (ion beam etching or ion milling), and (3) a combination of both methods (reactive ion or plasma etching) for the controlled removal of desired substrate materials. Although some of the pioneering work in the field was performed as early as the 1970s and 1980s, the area of plasma etching has continued to evolve due to the continuing technological developments in high-density plasma sources, high-throughput vacuum pumps, and process control and instrumentation. Excellent reviews are currently available that provide details in various aspects of the technology and etching process development. This chapter is aimed at providing the reader with a broad understanding of the parameters that influence the results in dry etching techniques and to provide information that is useful to explore dry etching processes for the fabrication of next-generation MEMS devices. Practical recipes suitable for most commonly used plasma reactor configurations are provided and motivated in terms of the influence of the various control parameters and chemicals (gases used). Because process parameters can rarely be transferred directly across equipment or fabrication facilities, it is important to be able to tune the process parameter to specific process flow requirements and constraints. The material presented is not necessarily exhaustive, but focuses instead on practical issues to tackle for the development of dry etching processes suitable for MEMS applications across a broad range of materials.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   249.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD   319.00
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. R.J. Shuhl, S.J. Pearton: Handbook of Advanced Plasma Processing Techniques, p. 653 (Springer, Heidelberg, 2000)

    Book  Google Scholar 

  2. S.M. Rossnagel, W.D. Westwood, J.J. Haber: Handbook of Plasma Processing Technology: Fundamental, Etching, Deposition and Surface Interactions, p. 546 (William Andrew, New York, NY, 1991)

    Google Scholar 

  3. D.M. Manos, D.L. Flamm: Plasma Etching: An Introduction, p. 476 (Academic, San Diego, CA, 1989)

    Google Scholar 

  4. M. Elwenspoek, H.V. Jansen: Silicon Micromachining (Cambridge University Press, Cambridge, 1998)

    Google Scholar 

  5. M. Madou: Fundamentals of Mirofabrication (CRC Press, Boca Raton, FL, 1997)

    Google Scholar 

  6. G.S. Oehrlein, W.D. Westwood, J.J. Haber: Reactive Ion Etching, in Reactive Ion Etching: Handbook of Plasma Processing Technology, pp. 196–206 (Rossnagel, S.M. (Ed.) Noyes, New York, NY, 1990)

    Google Scholar 

  7. G.C. Schwartz, P.M. Schaible: Reactive ion etching of silicon, J. Vac. Sci. Technol. 16, 410–413 (1979)

    Article  Google Scholar 

  8. X.Y. Li, P.J. French, P.M. Sarro, R.F. Wolffenbuttel: Fabrication of a Single Crystalline Silicon Capacitive Lateral Accelerometer Using Micromachining Based on Single Step Plasma Etching. Proceedings of the IEEE MEMS Conference, Amsterdam, The Netherlands, pp. 398–403, 1995.

    Google Scholar 

  9. B. Diem, P. Rey, S. Renard, S.V. Bosson, H. Bono, F. Michel, M.T. Delaye, G. Delapierre: SOI ’SIMOX’; from bulk to surface micromachining, a new age for silicon sensors and actuators, Sens. Actuators A Phys. 46, 8–16 (1995)

    Article  Google Scholar 

  10. K.T. Sung, S.W. Pang: Etching of Si with Cl2 using an electron cyclotron resonance source. 39th National Symposium of the American Vacuum Society, Chicago, IL, pp. 1206–1210 (1993)

    Google Scholar 

  11. W.H. Juan, J.W. Weigold, S.W. Pang: Dry etching and boron diffusion of heavily doped high-aspect ratio Si trenches. Micromachining and Microfabrication Process Technology II, Austin, TX, pp. 45–55 (1996)

    Google Scholar 

  12. C.J. Mogab: The loading effect in plasma etching, J. Electrochem. Soc. 124, 1262–1268 (1977)

    Article  Google Scholar 

  13. D.L. Flamm: Introduction to Plasma Chemistry, in Plasma Etching: An Introduction, pp. 91–183 (Manos, D.M., Flamm, D.L. (Eds.) Academic, San Diego, CA, 1989)

    Google Scholar 

  14. G.S. Hwang, K.P. Giapis: On the origin of the notching effect during etching in uniform high density plasmas, J. Vac. Sci. Technol. B Microelectron, Nanometer Struct. 15, 70–87 (1997)

    Article  Google Scholar 

  15. K.P. Giapis: Fundamentals of Plasma Process-Induced Charging and Damage, in Handbook of Advanced Plasma Processing Techniques, pp. 257–308 (Shuhl, R.J., Pearton, S.J. (Eds.) Springer, Berlin, 2000)

    Chapter  Google Scholar 

  16. B. Chapman: Glow Discharge Processes: Sputtering and Plasma Etching (Wiley-Interscience, New York, NY, 1980)

    Google Scholar 

  17. M. de Boer, H. Jansen, M. Elwenspoek: The Black Silicon Method V: A Study of The Fabricating of Movable Structures for Micro Electromechanical Systems in Solid-State Sensors and Actuators, 1995 and Eurosensors IX. Transducers ′95. The 8th International Conference, pp. 565–568 (1995).

    Google Scholar 

  18. H. Muenzel, G. Benz, A. Schilp, F. Laermer: Processing silicon in a plasma etch system (fabrication of free-standing silicon structures) Patent DE4420962, GB2,290,413, Office, G.P., Germany (1995)

    Google Scholar 

  19. K.A. Shaw, Z.L. Zhang, N.C. MacDonald: SCREAM I: A single mask, single-crystal silicon, reactive ion etching process for microelectromechanical structures, Sens. Actuators A Phys. 40, 63–70 (1994)

    Article  Google Scholar 

  20. D.E. Ibbotson, J.A. Mucha, D.L. Flamm, J.M. Cook: Plasmaless dry etching of silicon with fluorine-containing compounds, J. Appl. Phys. 56, 2939–2942 (1984)

    Article  Google Scholar 

  21. F.I. Chang, R. Yeh, G. Lin, P.B. Chu, E. Hoffman, E.J.J. Kruglick, K.S.J. Fister, M.H. Hecht: Gas-Phase Silicon Micromachining with Xenon Difluoride. Proceedings of the SPIE, Austin, TX, 1995, Vol. 2641, pp. 117–128 (1995).

    Google Scholar 

  22. X.-Q. Wang, X. Yang, K. Walsh, Y.-C. Tai: Gas-Phase Silicon Etching with Bromine Trifluoride. Proceedings of the International Conference on Solid-State Sensors and Actuators - Transducers 97, Chicago, Vol. 2, p. 1505 (1997).

    Google Scholar 

  23. Y. Saito, O. Yamaoka, A. Yoshida: Plasmaless etching of silicon using chlorine trifluoride, J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. 9, 2503–2506 (1991)

    Article  Google Scholar 

  24. G.T.A. Kovacs, N.I. Maluf, K.E. Petersen: Bulk micromachining of silicon, Proc. IEEE 86, 1536–1551 (1998)

    Article  Google Scholar 

  25. K.R. Williams, R.S. Muller: Etch rates for micromachining processing, J. Microelectromech. Syst. 5, 256–269 (1996)

    Article  Google Scholar 

  26. S.J. Gross: Micromachined switches and cantilever actuators based on piezoelectric lead zirconate titanate (PZT), Ph.D. Thesis, Electrical Engineering, The Pennsylvania State University, University Park, pp. 66–70 (2004).

    Google Scholar 

  27. C. Leinenbach, H. Seidel, T. Fuchs, S. Kronmueller, F. Laermer: A novel sacrificial layer technology based on highly selective etching of silicon-germanium in CLF3 in Micro Electro Mechanical Systems, 2007. MEMS IEEE 20th International Conference, pp. 65–68 (2007).

    Google Scholar 

  28. A. Hoechst: Plasmaless Dry Etching of Silicon Using Chlorine Trifluoride. Investigation of Etching Mechanism and Applications in Microsystem Technologies, Ph.D. Thesis, pages 118, University of Tubingen, Germany, (2007).

    Google Scholar 

  29. A. Hoechst, F. Fischer, G. Kirbach, A. Urban, V. Becker, M. Irmscher, H. Sailer, D.P. Kern: Investigations on the mechanism of silicon etching with chlorine-trifluoride, J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. 23, 1936–1942 (2005)

    Article  Google Scholar 

  30. O.A. Popov: High Density Plasma Sources (Noyce Publications, Park Ridge, NJ, 1995)

    Google Scholar 

  31. D.L. Flamm, K.G. Herb: Plasma Etching Technology – An Overview, in Plasma Etching: An Introduction, pp. 1–89 (Manos, D.M., Flamm, D.L. (Eds.) Academic, San Diego, CA, 1989)

    Google Scholar 

  32. F. Laermer, A. Schilp, K. Funk, M. Offenberg: Bosch deep silicon etching: improving uniformity and etch rate for advanced MEMS applications in Micro Electro Mechanical Systems, 1999. MEMS′99. 12th IEEE International Conference, pp. 211–216 (1999)

    Google Scholar 

  33. V. Becker, F. Laermer, A. Schilp, T. Beck: Plasma Etching Installation Patent 6,531,031, Office, U.S.P., US (2003)

    Google Scholar 

  34. K. Breitschwerdt, V. Becker, F. Laermer, A. Schilp: Device and Method for Etching a Substrate by using an Inductively Coupled Plasma Patent 6,709,546 and 7,094,706, Office, U.S.P., US (2004)

    Google Scholar 

  35. B. Charlet, L. Peccoud: Process for Etching with Gaseous Plasma, Patent EP-0359777, Office, E.P., France (1992)

    Google Scholar 

  36. B. Charlet, L. Peccoud, T. Dupeux: Microusinage du silicium dans un reacteur GIR assiste par un plasma micro-ondes. Proceedings 6th Colloque Int. sur la Pulverisation Cathodique et ses Applications, CIP 85, Nice, France, pp. 183–188 (1987).

    Google Scholar 

  37. C. Youtsey, I. Adesida: Plasma Processing of III-V Materials, In Handbook of Advanced Plasma Processing Techniques, pp. 459–506 (Shuhl, R.J., Pearton, S.J. (Eds.) Springer, Berlin, 2000)

    Chapter  Google Scholar 

  38. J.W. Coburn, H.F. Winters: Ion- and electron-assisted gas-surface chemistry – An important effect in plasma etching, J. Appl. Phys. 50, 3189–3196 (1979)

    Article  Google Scholar 

  39. J.W. Coburn, H.F. Winters: Plasma etching – A discussion of mechanisms, J. Vac. Sci. Technol. 16, 391–403 (1979)

    Article  Google Scholar 

  40. R.A.H. Heinecke: Control of relative etch rates of SiO2 and Si in plasma etching, Solid-State Electron. 18, 1146–1147 (1975)

    Article  Google Scholar 

  41. H.W. Lehmann, R. Widmer: Profile control by reactive sputter etching, J. Vac. Sci. Technol. 15, 319–326 (1978)

    Article  Google Scholar 

  42. L.M. Ephrath: Selective etching of silicon dioxide using reactive ion etching with CF4-H2, J. Electrochem. Soc. 126, 1419–1421 (1979)

    Article  Google Scholar 

  43. G.S. Oehrlein, S.W. Robey, J.L. Lindstrom, K.K. Chan, M.A. Jaso, G.J. Scilla: Surface modifications of electronic materials induced by plasma etching, J. Electrochem. Soc. 136, 2050–2057 (1989)

    Article  Google Scholar 

  44. D.L. Flamm, V.M. Donnelly, J.A. Mucha: The reaction of fluorine atoms with silicon, J. Appl. Phys. 52, 3633–3639 (1981)

    Article  Google Scholar 

  45. K. Yamakawa, M. Hori, T. Goto, S. Den, T. Katagiri, H. Kano: Ultrahigh-speed etching of SiO2 with ultrahigh selectivity over Si in microwave-excited non equilibrium atmospheric pressure plasma, Appl. Phys. Lett. 85, 549–551 (2004)

    Article  Google Scholar 

  46. F.H.M. Sanders, J. Dieleman, H.J. B. Peters, J.A.M. Sanders: Selective isotropic dry etching of Si3N4 over SiO2, J. Electrochem. Soc. 129, 2559–2561 (1982)

    Article  Google Scholar 

  47. D.E. Ibbotson, J.A. Mucha, D.L. Flamm, J.M. Cook: Selective interhalogen etching of tantalum compounds and other semiconductor materials, Appl. Phys. Lett. 46, 794–796 (1985)

    Article  Google Scholar 

  48. F. Laermer, A. Schilp: Method of Anisotropically Etching Silicon Patent 5501893, Office, U.S.P., USA (1996)

    Google Scholar 

  49. H. Jansen, M.d. Boer, R. Legtenberg, M. Elwenspoek: The black silicon method: A universal method for determining the parameter setting of a fluorine-based reactive ion etcher in deep silicon trench etching with profile control, J. Micromech. Microeng. 5, 115–120 (1995)

    Article  Google Scholar 

  50. J.W. Bartha, J. Greschner, M. Puech, P. Maquin: Low temperature etching of Si in high density plasma using SF6/O2, Microelectron. Eng. 27, 453–456 (1995)

    Article  Google Scholar 

  51. M. Puech, P. Maquin: Low temperature etching of Si and PR in high density plasmas, Appl. Surf. Sci. 100–101, 579–582 (1996)

    Article  Google Scholar 

  52. C.J. Mogab, A.C. Adams, D.L. Flamm: Plasma etching of Si and SiO2 – The effect of oxygen additions to CF4 plasmas, J. Appl. Phys. 49, 3796–3803 (1978)

    Article  Google Scholar 

  53. A.M. Hynes, H. Ashraf, J.K. Bhardwaj, J. Hopkins, I. Johnston, J.N. Shepherd: Recent advances in silicon etching for MEMS using the ASE(TM) process, Sens. Actuators A Phys. 74, 13–17 (1999)

    Article  Google Scholar 

  54. J. Hopkins, H. Ashraf, J.K. Bhardwaj, A.M. Hynes, I. Johnston, J.N. Shepherd: The benefits of process parameter ramping during plasma etching of high aspect ratio silicon structures. Materials Research Society Symposium Proceedings, p. 63 (1999)

    Google Scholar 

  55. R.A. Gottscho, C.W. Jurgensen, D.J. Vitkavage: Microscopic uniformity in plasma etching, J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. 10, 2133–2147 (1992)

    Article  Google Scholar 

  56. J.W. Coburn, H.F. Winters: Conductance considerations in the reactive ion etching of high aspect ratio features, Appl. Phys. Lett. 55, 2730–2732 (1989)

    Article  Google Scholar 

  57. V. Becker, F. Laermer, A. Schilp: Anisotropic Plasma Etching of Trenches in Silicon by control of Substrate Temperature, Patent DE 19841964, Germany (2000)

    Google Scholar 

  58. T. Kinoshita, M. Hane, J.P. McVittie: Notching as an example of charging in uniform high density plasmas. The 3rd International Workshop on Advanced Plasma Tools for Etching, Chemical Vapor Deposition, and Plasma Vapor Deposition: Sources, Process Control, and Diagnostics, San Jose, CA, pp. 560–565 (1996)

    Google Scholar 

  59. F. Laermer, A. Urban: Challenges, developments and applications of silicon deep reactive ion etching, Microelectron. Eng. 67–68, 349–355 (2003)

    Article  Google Scholar 

  60. C. Steinbruchel: Langmuir probe measurements on CHF3 and CF4 plasmas: The role of ions in the reactive sputter etching of SiO2 and Si, J. Electrochem. Soc. 130, 648–655 (1983)

    Article  Google Scholar 

  61. L. Li, T. Abe, M. Esashi: Smooth surface glass etching by deep reactive ion etching with SF6 and Xe gases, J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. 21, 2545 (2003)

    Article  Google Scholar 

  62. X. Li, T. Abe, M. Esashi: Deep Reactive Ion Etching of Pyrex Glass. Proceedings of IEEE Thirteenth Annual International Conference on Micro Electro Mechanical Systems, Miyazaki, Japan, pp. 271 (2000)

    Google Scholar 

  63. A. Goyal, V. Hood, S. Tadigadapa: High speed anisotropic etching of Pyrex for microsystems applications, J. Non-Crystalline Solids 352, 657 (2006)

    Article  Google Scholar 

  64. M.B. Pisani, P. Kao, S. Tadigadapa: Bulk acoustic wave resonators for infrared detection applications, Solid-State Sensors, Actuators and Microsystems Conference, 2009. TRANSDUCERS 2009. International, pp. 1959–1962 (2009)

    Google Scholar 

  65. P.W. Leech: Reactive ion etching of piezoelectric materials in CF4/CHF3 plasmas, J. Vac. Sci. Technol. A Vac. Surf. Films 16, 2037–2041 (1998)

    Article  Google Scholar 

  66. S.A. Campbell: The Science and Engineering of Microelectronic Fabrication (Oxford University Press, New York, NY, 2001)

    Google Scholar 

  67. F.A. Khan, L. Zhou, V. Kumar, I. Adesida, R. Okojie: High rate etching of AlN using BCl3/Cl2/Ar inductively coupled plasma, Mater. Sci. Eng. B: Solid-State Mater. Adv. Technol. 95, 51–54 (2002)

    Article  Google Scholar 

  68. F. Engelmark, G.F. Iriarte, I.V. Katardjiev: Selective etching of Al/AlN structures for metallization of surface acoustic wave devices, J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. 20, 843–848 (2002)

    Article  Google Scholar 

  69. R.J. Shul, C.G. Willison, M.M. Bridges, J. Han, J.W. Lee, S.J. Pearton, C.R. Abernathy, J.D. MacKenzie, S.M. Donovan, L. Zhang, L.F. Lester: Selective inductively coupled plasma etching of group-III nitrides in Cl2- and BCl3-based plasmas. Papers from the 44th national symposium of the AVS, San Jose, CA, pp. 1621–1626 (1998)

    Google Scholar 

  70. J.C. Woo, G.H. Kim, J.G. Kim, C.I. Kim: Etching characteristic of ZnO thin films in an inductively coupled plasma, Surf. Coat. Technol. 202, 5705–5708 (2008)

    Article  Google Scholar 

  71. M. Bale, R.E. Palmer: Deep plasma etching of piezoelectric PZT with SF6, J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. 19, 2020–2025 (2001)

    Article  Google Scholar 

  72. S.S. Subasinghe, A. Goyal, S. Tadigadapa: High aspect ratio plasma etching of bulk lead zirconate titanate. in Proceedings of SPIE: Micromachining and Microfabrication Process Technology XI vol. 6109, pp. 100–108 (Mary-Ann Maher, H.D.S., Jung-Chih Chiao (Eds.) SPIE, San Jose, CA, 2006)

    Google Scholar 

  73. S. Tadigadapa, K. Mateti: Piezoelectric MEMS sensors: state-of-the-art and perspectives, Meas. Sci. Technol. 20, 092001 (2009)

    Article  Google Scholar 

  74. S. Schreiter, H.U. Poll: A new plasma-etching technique for micromechanical structuring of quartz, Sens. Actuators A Phys. A35, 137–141 (1992)

    Article  Google Scholar 

  75. S.A. Smith, C.A. Wolden, M.D. Bremser, A.D. Hanser, R.F. Davis, W.V. Lampert: High rate and selective etching of GaN, AlGaN, and AlN using an inductively coupled plasma, Appl. Phys. Lett. 71, 3631–3633 (1997)

    Article  Google Scholar 

  76. R.J. Shul, C.G. Willison, M.M. Bridges, J. Han, J.W. Lee, S.J. Pearton, C.R. Abernathy, J.D. MacKenzie, S.M. Donovan, L. Zhang, L.F. Lester: Selective inductively coupled plasma etching of group-III nitrides in Cl2 and BCl3-based plasmas, J. Vac. Sci. Technol. A: Vac. Surf. Films 16, 1621 (1998)

    Article  Google Scholar 

  77. E. Mastropaolo, A.M. Gundlach, C. Fragkiadakis, P.B. Kirby, R. Cheung: Reactive ion etching of zinc oxide (ZnO) in SiCl4 based plasmas, Electron. Lett. 43, 1467–1469 (2007)

    Article  Google Scholar 

  78. W.T. Lim, L. Stafford, J.S. Wright, L.F. Vossa, R. Khanna, J.-I. Song, J.-S. Park, Y.W. Heo, J.-H. Lee, J.-J. Kim, D.P. Norton, S.J. Pearton: Comparison of plasma chemistries for the dry etching of bulk single-crystal zinc-oxide and rf-sputtered indium-zinc-oxide films, Appl. Surf. Sci. 253, 9228–9233 (2007)

    Article  Google Scholar 

  79. W. Lim, L.F. Voss, R. Khanna, J. Wright, B.P. Gila, D.P. Norton, S.J. Pearton, F. Ren: Comparison of ZnO dry etching in high density inductively coupled CH4/H2 and C2H6/H2-based chemistries, Pennington, NJ 08534-2896, United States, pp. 209–216 (2006)

    Google Scholar 

  80. A.M. Efremov, D.P. Kim, K.T. Kim, C.I. Kim: Etching characteristics and mechanism of Pb(Zr,Ti)O3 thin films in CF4/Ar inductively coupled plasma, Vacuum 75, 321–329 (2004)

    Article  Google Scholar 

  81. A. Scherer, H.G. Craighead, E.D. Beebe: Gallium arsenide and aluminum gallium arsenide reactive ion etching in boron trichloride/argon mixtures, J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. 5, 1599–1605 (1987)

    Article  Google Scholar 

  82. K.J. Nordheden, D.W. Ferguson, P.M. Smith: Reactive ion etching of via holes for GaAs high electron mobility transistors and monolithic microwave integrated circuits using Cl2/BCl3/Ar gas mixtures, J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. 11, 1879–1883 (1993)

    Article  Google Scholar 

  83. W.H. Guggina, A.A. Ketterson, E. Andideh, J. Hughes, I. Adesida, S. Caracci, J. Kolodzey: Characterization of GaAs/AlxGa1–xAs selective reactive ion etching in SiCl4/SiF4 plasmas. The 34th International Symposium on Electron, Ion and Photon Beams, San Antonio, TX, pp. 1956–1959 (1990)

    Google Scholar 

  84. R. Cheung, S. Thoms, S.P. Beamont, G. Doughty, V. Law, C.D.W. Wilkinson: Reactive ion etching of GaAs using a mixture of methane and hydrogen, Electron. Lett. 23, 857–859 (1987)

    Article  Google Scholar 

  85. J. Werking, J. Schramm, C. Nguyen, E.L. Hu, H. Kroemer: Methane/hydrogen-based reactive ion etching of InAs, InP, GaAs, and GaSb, Appl. Phys. Lett. 58, 2003–2005 (1991)

    Article  Google Scholar 

  86. M.A. Bosch, L.A. Coldren, E. Good: Reactive ion beam etching of InP with Cl2, Appl. Phys. Lett. 38, 264–266 (1981)

    Article  Google Scholar 

  87. C. Youtsey, I. Adesida: A comparative study of Cl2 and HCl gases for the chemically assisted ion beam etching of InP. The 38th International Symposium on Electron, Ion, and Photon Beams, Scottsdale, AZ, pp. 2360–2365 (1995).

    Google Scholar 

  88. G.A. Vawter, C.I.H. Ashby: Reactive-ion-beam etching of InP in a chlorine–hydrogen mixture, The 38th International Symposium on Electron, Ion, and Photon Beams, New Orleans, LA, pp. 3374–3377 (1994)

    Google Scholar 

  89. C. Youtsey, R. Grundbacher, R. Panepucci, I. Adesida, C. Caneau: Characterization of chemically assisted ion beam etching of InP. The 38th International Symposium on Electron, Ion, and Photon Beams, New Orleans, LA, pp. 3317–3321 (1994)

    Google Scholar 

  90. K.K. Ko, S.W. Pang: High aspect ratio deep via holes in InP etched using Cl2/Ar plasma, J. Electrochem. Soc. 142, 3945–3949 (1995)

    Article  Google Scholar 

  91. U. Niggebrugge: Recent advances in dry etching processes for InP-based materials. Proceedings of the Third International Conference on Indium Phosphide and Related Materials, Cardiff, UK, pp 246–251 (1991)

    Google Scholar 

  92. J. Etrillard, F. Heliot, P. Ossart, M. Juhel, G. Patriarche, P. Carcenac, C. Vieu, M. Puech, P. Maquin: Sidewall and surface induced damage comparison between reactive ion etching and inductive plasma etching of InP using a CH4/H2/O2 gas mixture. The 42nd national symposium of the American Vacuum Society, Mineapolis, MN, pp. 1056–1061 (1996)

    Google Scholar 

  93. J.E. Schramm, D.I. Babic, E.L. Hu, J.E. Bowers, J.L. Merz: Fabrication of high-aspect-ratio InP-based vertical-cavity laser mirrors using CH4/H2/O2/Ar reactive ion etching, J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. 15, 2031–2036 (1997)

    Article  Google Scholar 

  94. R.J. Lee: Ion Beam Etching (Milling) (Elsevier, Amsterdam, 1984)

    Google Scholar 

  95. J.M.E. Harper: Ion Beam Etching, in Plasma Etching: An Introduction, pp. 391–423 (Manos, D.M., Flamm, D.L. (Eds.) Academic, San Diego, CA, 1989)

    Google Scholar 

  96. M. Cantagrel, M. Marchal: Argon ion etching in a reactive gas, J. Mater. Sci. 8, 1711–1716 (1973)

    Article  Google Scholar 

Download references

Acknowledgment

The author (ST) would like to thank the graduate students Steven Gross, Han Guen Yu, Abhijat Goyal, Saliya Subasinghe, and Ping Kao in the group and Guy Lavallee and Changjun Huang at the Pennsylvania State University (PSU) Nanofabrication facility. ST acknowledges the use of facilities at the PSU Site of the NSF NNIN under Agreement 0335765. ST also acknowledges financial support from the US Army Research Office (Grant # W911NF-07-1-0327) and NSF (Grant # 0925438).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Srinivas Tadigadapa .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Tadigadapa, S., Lärmer, F. (2011). Dry Etching for Micromachining Applications. In: Ghodssi, R., Lin, P. (eds) MEMS Materials and Processes Handbook. MEMS Reference Shelf, vol 1. Springer, Boston, MA. https://doi.org/10.1007/978-0-387-47318-5_7

Download citation

  • DOI: https://doi.org/10.1007/978-0-387-47318-5_7

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-47316-1

  • Online ISBN: 978-0-387-47318-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics