Skip to main content

STT-RAM Cache Hierarchy Design and Exploration with Emerging Magnetic Devices

  • Chapter
  • First Online:
Emerging Memory Technologies

Abstract

Spin-transfer torque random access memory (STT-RAM) is a promising new nonvolatile technology that has good scalability, zero standby power, and radiation hardness. The use of STT-RAM in last level on-chip caches has been proposed as it significantly reduced cache leakage power as technology scales down. Having a cell area only 1/9 to 1/3 that of SRAM, this will allow for a much larger cache with the same die footprint. This will significantly improve overall system performance, especially in this multicore era where locality is crucial. However, deploying STT-RAM technology in L1 caches is challenging because write operations on STT-RAM are slow and power-consuming. In this chapter, we propose a range of cache hierarchy designs implemented entirely using STT-RAM that delivers optimal power saving and performance. In particular, our designs use STT-RAM cells with various data retention times and write performances, made possible by novel magnetic tunneling junction (MTJ) designs. For L1 caches where speed is of the utmost importance, we propose a scheme that uses fast STT-RAM cells with reduced data retention time coupled with a dynamic refresh scheme. We will show that such a cache can achieve \(9.2\,\%\) in performance improvement and saves up to \(30\,\%\) of the total energy when compared to one that uses traditional SRAM. For lower-level caches with relatively larger cache capacities, we propose a design that has partitions of different retention characteristics and a data migration scheme that moves data between these partitions. The experiments show that on the average, our proposed multiretention-level STT-RAM cache reduces total energy by as much as 30–70 % compared to previous single retention-level STT-RAM cache, while improving IPC performance for both 2-level and 3-level cache hierarchies.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Barth, J., Plass, D., Nelson, E., Hwang, C., Fredeman, G., Sperling, M., Mathews, A., Reohr, W., Nair, K., Cao, N. (2010). A \(45\,\)nm SOI embedded DRAM macro for POWER7TM \(32\,\)MB on-chip L3 cache. IEEE international solid-state circuits conference digest of technical papers (ISSCC), 342–343.

    Google Scholar 

  2. CACTI. http://www.hpl.hp.com/research/cacti/

  3. Cao, Y., Sato, T., Orshansky, M., Sylvester, D., Hu, C. (2000). New paradigm of predictive MOSFET and interconnect modeling for early circuit design. IEEE custom integrated circuit conference, 201–204.

    Google Scholar 

  4. Chua, L. (2002). Memristor-the missing circuit element. IEEE transastions on circuit theory, 18, 507–519.

    Google Scholar 

  5. De Sandre, G., Bettini, L., Pirola, A., Marmonier, L., Pasotti, M., Borghi, M., Mattavelli, P., Zuliani, P., Scotti, L., Mastracchio, G. (2010). A \(90\,\)nm \(4\,\)Mb embedded phase-change memory with .\(2\,\)V \(12\,ns read access time and\) MB/s write throughput. IEEE international solid-state circuits conference digest of technical papers (ISSCC), 268–269.

    Google Scholar 

  6. Desikan, R., Lefurgy, C. R., Keckler, S. W., Burger, D. (2008). On-chip MRAM as a high-bandwidth low-latency replacement for DRAM physical memories. http://www.cs.utexas.edu/ftp/pub/techreports/tr02-47.pdf

  7. Diao, Z., Li, Z., Wang, S., Ding, Y., Panchula, A., Chen, E., Wang, L. C., Huai, Y. (2007) Spin-transfer torque switching in magnetic tunnel junctions and spin-transfer torque random access, memory (Vol. 19). p. 165209.

    Google Scholar 

  8. Dong, X., Wu, X., Sun, G., Xie, Y., Li, H., Chen, Y. (:2008). Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a Universal Memory Replacement. ACM/IEEE desgin automation conference (DAC), 554–559.

    Google Scholar 

  9. Hosomi, M., et al. (2005). A novel nonvolatile memory with spin torque transfer magnetization switching: Spin-RAM. IEEE international electron devices meeting, 459–462.

    Google Scholar 

  10. Hu, M., Li, H., Chen, Y., Wang, X., Pino, R. E. (2011). Geometry variations analysis of TiO 2 thin-film and spintronic memristors. Proceedings of the 16th Asia and South Pacific design automation conference, 25–30.

    Google Scholar 

  11. IntelQ8200. http://ark.intel.com/Product.aspx?id=36547

  12. Kawahara, T., Takemura, R., Miura, K., Hayakawa, J., Ikeda, S., Lee, Y. M., et al. (2008). 2 Mb SPRAM (SPin-Transfer Torque RAM) With Bit-by-Bit bi-directional current write and parallelizing-direction current read. IEEE Journal of solid-state circuit, 43, 109–120.

    Google Scholar 

  13. Kim, C. H., Kim, J. J., Mukhopadhyay, S., & Roy, K. (2005). A forward body-biased low-leakage SRAM cache: device, circuit and architecture considerations. IEEE transations on very large scale integration (VLSI) system, 13, 349–357.

    Google Scholar 

  14. Kirolos, S., Massoud, Y. (2007). Adaptive SRAM design for dynamic voltage scaling VLSI systems. IEEE international midwest symposium on circuits and systems (MWSCAS), 1297–1300.

    Google Scholar 

  15. Li, Z., Zhang, S. (2004). Domain-wall dynamics driven by adiabatic spin-transfer torques. Physics Review B, 70, 024417.

    Google Scholar 

  16. Marss86. http://www.marss86.org/

  17. Nair, P., Eratne, S., John, E. (2007). A quasi-power-gated low-leakage stable SRAM cell. IEEE international midwest symposium on circuits and systems (MWSCAS), 761–764.

    Google Scholar 

  18. Nebashi, R., Sakimura, N., Tsuji, Y., Fukami, S., Honjo, H., Saito, S., Miura, S., Ishiwata, N., Kinoshita, K., Hanyu, T. (2011). A content addressable memory using mMagnetic domain wall motion cells. Symposium on VLSI circuits, 300–301.

    Google Scholar 

  19. NVSim. http://www.rioshering.com/nvsimwiki/index.php

  20. Parkin, S. (2009). Racetrack memory: A storage class memory based on current controlled magnetic domain wall motion. Device research conference, 3–6.

    Google Scholar 

  21. Raychowdhury, A., Somasekhar, D., Karnik, T., De, V. (2009). Design space and scalability exploration of 1T–1STT MTJ memory arrays in the presence of variability and disturbances. IEEE international electron devices meeting, 1–4.

    Google Scholar 

  22. Smullen, C. W., Mohan, V., Nigam, A., & Gurumurthi, S., Stan, M. R. (2011). Relaxing non-volatility for fast and energy-efficient STT-RAM caches.

    Google Scholar 

  23. Strukov, D. B., Snider, G. S., Stewart, D. R., & Williams, R. S. (2008). The missing memristor found. Nature, 453, 80–83.

    Google Scholar 

  24. Sun, J. Z. (2000). Spin-current interaction with a monodomain magnetic body: A model study. Physics Review B, 62.

    Google Scholar 

  25. Sun, G., Dong, X., Xie, Y., Li, J., Chen, Y. (2009). A novel architecture of the 3D stacked MRAM L2 cache for CMPs. IEEE symposium on high-performance computer architecture (HPCA), 239–249.

    Google Scholar 

  26. Wang, X., Chen, Y., Li, H., Dimitrov, D., Liu, H. (2008). Spin torque random access memory down to \(22\,\)nm technology (Vol. 44). IEEE transactions on magnetics, 2479–2482.

    Google Scholar 

  27. Wang, X., et al. (2009). Spintronic Memristor through Spin Torque Induced Magnetization Motion. IEEE electron device letters, 30, 293–297.

    Google Scholar 

  28. Wang, X., Zhu, W., Xi, H., & Dimitrov, D. (2008). Relationship between symmetry and scaling of spin torque thermal switching barrier. IEEE transactions on magnetics, 44, 2479–2482.

    Google Scholar 

  29. Wu, X., Li, J., Zhang, L., Speight, E., & Xie, Y. (2009). Power and performance of read-write aware hybrid caches with non-volatile memories. Design, automation and test in Europe conference and exhibition (pp. 737–742).

    Google Scholar 

  30. Xu, W., et al. (2011). Design of last-level on-chip cache using spin-torque transfer RAM (STT RAM). IEEE transations on very large scale integration (VLSI) system, 483–493, 2011.

    Google Scholar 

  31. Zhao, H. and Lyle, A. and Zhang, Y. and Amiri, PK and Rowlands, G. and Zeng, Z. and Katine, J. and Jiang, H. and Galatsis, K. and Wang, KL.: Low writing energy and sub nanosecond spin torque transfer switching of in-plane magnetic tunnel junction for spin torque transfer RAM, Journal of Applied Physics, 109, 07C720.

    Google Scholar 

  32. Zhou, P., Zhao, B., Yang, J., Zhang, Y. (2009). Energy reduction for STT-RAM using early write termination. IEEE/ACM international conferernce on computer-aided design (ICCAD), 264–268.

    Google Scholar 

  33. ITRS (2011). The International Technology Roadmap for Semiconductors. http://www.itrs.net.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Hai (Helen) Li .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer Science+Business Media New York

About this chapter

Cite this chapter

Li, H.(., Sun, Z., Bi, X., Wong, WF., Zhu, X., Wu, W. (2014). STT-RAM Cache Hierarchy Design and Exploration with Emerging Magnetic Devices. In: Xie, Y. (eds) Emerging Memory Technologies. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-9551-3_7

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-9551-3_7

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-9550-6

  • Online ISBN: 978-1-4419-9551-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics