Skip to main content

Power Supply Selective Mapping for Accurate Timing Analysis

  • Conference paper

Part of the book series: Lecture Notes in Computer Science ((LNPSE,volume 3728))

Abstract

In Deep Sub-Micron technologies post-layout timing analysis has become the most critical phase in the verification of large System-on-Chip (SoC) designs with several power-hungry blocks. The impact of coupling capacitances has been adequately analyzed, and modern signal integrity analysis tools can effectively consider the crosstalk-induced delay. However, an increasingly important factor that can introduce a severe performance loss is the power supply noise. As technology advances into the nanometer regime, the operating frequencies increase, and clock gating has emerged as an effective technique to limit the power consumption in block-based designs. As a consequence, the amplitude of the supply voltage fluctuations has reached values where techniques to include the effect of power supply noise into timing analysis based on linear models are no longer adequate, and the non-linear dependence of cell delay from supply voltage must be considered. In this work we present a practical methodology that accurately takes into account the power supply noise effects in static timing analysis, which can be seamlessly included into an industrial sign-off design flow. The experimental results obtained from the timing verification of an industrial SoC design have demonstrated the effectiveness of our approach.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Chen, H.H., Ling, D.D.: Power Supply Noise Analysis Methodology for Deep- Submicron VLSI Chip Design. In: Proc. of Design Automation Conf., June 1997, pp. 638–647 (1997)

    Google Scholar 

  2. Chen, H.H., Nealy, J.S.: Interconnect and Circuit Modeling Techniques for Full-Chip Power Supply Noise Analysis. IEEE Trans. on Components, Packaging, and Manufacturing Technology-Part B 21, 209–215 (1998)

    Article  MATH  Google Scholar 

  3. Zhao, M., Panda, R.V., Sapatnekar, S.S., Blaauw, D.: Hierarchical Analysis of Power Distribution Networks. IEEE Trans. on Computer-Aided Design 21, 159–168 (2002)

    Article  Google Scholar 

  4. Nassif, S.R., Kozhaya, J.N.: Fast Power Grid Simulation. In: Proc. of Design Automation Conf., June 2000, pp. 156–161 (2000)

    Google Scholar 

  5. Kozhaya, J.N., Nassif, S.R., Najm, F.N.: Multigrid-like Technique for Power Analysis. In: Proc. of Intl. Conf. on Computer-Aided Design, November 2001, pp. 480–487 (2001)

    Google Scholar 

  6. Gowan, M.K., Biro, L.L., Jackson, D.B.: Power Considerations in the Design of the Alpha 21264 Microprocessor. In: Proc. of Design Automation Conf., June 1998, pp. 726–731 (1998)

    Google Scholar 

  7. Dharchoudhury, R., Panda, D.: Blaauw, and R. Vaidyanathan,Design and Analysis of Power Distribution Networks in PowerPCTM Microprocessors. In: Proc. of Design Automation Conf., June 1998, pp. 738–743 (1998)

    Google Scholar 

  8. Larsson, P.: Resonance and Damping in CMOS Circuits with On-Chip Decoupling Capacitance. IEEE Trans. on CAS-I 45, 849–858 (1998)

    Article  Google Scholar 

  9. Bobba, S., Thorp, T., Aingaran, K., Liu, D.: IC Power Distribution Challenges. In: Proc. of Intl. Conf. on Computer-Aided Design, November 2001, pp. 643–650 (2001)

    Google Scholar 

  10. Cha, H.-R., Kwon, O.-K.: An Analytical Model of Simultaneous Switching Noise in CMOS Systems. IEEE Trans. on Advanced Packaging 23, 62–68 (2000)

    Article  Google Scholar 

  11. Tang, K.T., Friedman, E.G.: Simultaneous Switching Noise in On-Chip CMOS Power Distribution Networks. IEEE Trans. on VLSI Systems 10, 487–493 (2002)

    Article  Google Scholar 

  12. Ajami, A.H., Banerjee, K., Mehrotra, A., Pedram, M.: Analysis of IR-Drop Scaling with Implications for Deep Submicron P/G Network Design. In: Proc. of ISQED, March 2003, pp. 35–40 (2003)

    Google Scholar 

  13. Jiang, Y.-M., Cheng, K.-T.: Analysis of Performance Impact Caused by Power Supply Noise in Deep Submicron Devices. In: Proc. of Design Automation Conf., June 1999, pp. 760–765 (1999)

    Google Scholar 

  14. Saleh, R., Hussain, S.Z., Rochel, S., Overhauser, D.: Clock Skew Verification in the Presence of IR-drop in the Power Distribution Network. IEEE Trans. on Computer-Aided Design 19, 635–744 (2000)

    Article  Google Scholar 

  15. Liou, J.-J., Krstic, A., Jiang, Y.-M., Cheng, K.-T.: Path Selection and Pattern Generation for Dynamic Timing Analysis Considering Power Supply Noise Effects. In: Proc. of Intl. Conf. on Computer-Aided Design, November 2000, pp. 493–496 (2000)

    Google Scholar 

  16. Chen, L.H., Marek-Sadowska, M., Brewer, F.: Buffer Delay Change in the Presence of Power and Ground Noise. IEEE Trans. of VLSI Systems 11, 461–473 (2003)

    Article  Google Scholar 

  17. Sakurai, T., Newton, A.R.: Alpha-Power Law MOSFET Model and its Applications to CMOS Inverter Delay and Other Formulas. IEEE Trans. on Computer-Aided Design 25, 584–594 (1990)

    Google Scholar 

  18. Ahmadi, R., Najm, F.N.: Timing Analysis in Presence of Power Supply and Ground Voltage Variations. In: Proc. of Intl. Conf. on Computer-Aided Design, November 2003, pp. 176–183 (2003)

    Google Scholar 

  19. Pant, S., Blaauw, D., Zolotov, V., Sundareswaran, S., Panda, R.: Vectorless Analysis of Supply Noise Induced Delay Variation. In: Proc. of Intl. Conf. on Computer-Aided Design, November 2003, pp. 184–191 (2003)

    Google Scholar 

  20. Standard Delay Format Specification, Open Verilog International, Version 3.0 (May 1995)

    Google Scholar 

  21. Dartu, F., Menezes, N., Pileggi, L.T.: Performance Computation of Precharacterized CMOS Gates with RC Loads. IEEE Trans. on Computer-Aided Design 15, 544–553 (1996)

    Article  Google Scholar 

  22. Odabasiouglu, A., Celik, M., Pileggi, L.T.: PRIMA: Passive Reduced-order Interconnect Macromodeling Algorithm. In: Proc. Intl. Conf. on Computer-Aided Design, November 1997, pp. 58–65 (1997)

    Google Scholar 

  23. ELDOTM User Guide, Mentor Graphics, Inc. (2001)

    Google Scholar 

  24. PrimeTimeTM User Guide, Synopsys, Inc. (2003)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2005 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Graziano, M., Forzan, C., Pandini, D. (2005). Power Supply Selective Mapping for Accurate Timing Analysis. In: Paliouras, V., Vounckx, J., Verkest, D. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation. PATMOS 2005. Lecture Notes in Computer Science, vol 3728. Springer, Berlin, Heidelberg. https://doi.org/10.1007/11556930_28

Download citation

  • DOI: https://doi.org/10.1007/11556930_28

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-29013-1

  • Online ISBN: 978-3-540-32080-7

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics