Skip to main content
Log in

A review of the scalable nano-manufacturing technology for flexible devices

  • Review Article
  • Published:
Frontiers of Mechanical Engineering Aims and scope Submit manuscript

Abstract

Recent advances in electronic and photonic devices, such as artificial skin, wearable systems, organic and inorganic light-emitting diodes, have gained considerable commercial and scientific interest in the academe and in industries. However, low-cost and high-throughput nano-manufacturing is difficult to realize with the use of traditional photolithographic processes. In this review, we summarize the status and the limitations of current nanopatterning techniques for scalable and flexible functional devices in terms of working principle, resolution, and processing speed. Finally, several remaining unsolved problems in nano-manufacturing are discussed, and future research directions are highlighted.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Fan X, Zhang H, Liu S, et al. NIL—A low-cost and high-throughput MEMS fabrication method compatible with IC manufacturing technology. Microelectronics Journal, 2006, 37(2): 121–126

    Article  Google Scholar 

  2. Yu Z, Duong B, Abbitt D, et al. Highly ordered MnO2 nanopillars for enhanced supercapacitor performance. Advanced Materials, 2013, 25(24): 3302–3306

    Article  Google Scholar 

  3. Guo L J. Nanoimprint lithography: Methods and material requirements. Advanced Materials, 2007, 19(4): 495–513

    Article  MathSciNet  Google Scholar 

  4. Gates B D, Xu Q, Stewart M, et al. New approaches to nanofabrication: Molding, printing, and other techniques. Chemical Reviews, 2005, 105(4): 1171–1196

    Article  Google Scholar 

  5. Guo L J. Recent progress in nanoimprint technology and its applications. Journal of Physics D: Applied Physics, 2004, 37(11): R123–R141

    Article  MathSciNet  Google Scholar 

  6. Kazemi A, He X, Alaie S, et al. Large-area semiconducting graphene nanomesh tailored by interferometric lithography. Scientific Reports, 2015, 5: 11463

    Article  Google Scholar 

  7. Checco A, Rahman A, Black C T. Robust superhydrophobicity in large-area nanostructured surfaces defined by block-copolymer self assembly. Advanced Materials, 2014, 26(6): 886–891

    Article  Google Scholar 

  8. Gale M T, Rossi M, Pedersen J, et al. Fabrication of continuousrelief micro-optical elements by direct laser writing in photoresists. Optical Engineering, 1994, 33(11): 3556–3566

    Article  Google Scholar 

  9. Hon K, Li L, Hutchings I. Direct writing technology—Advances and developments. CIRP Annals—Manufacturing Technology, 2008, 57(2): 601–620

    Article  Google Scholar 

  10. Biswas A, Bayer I S, Biris A S, et al. Advances in top-down and bottom-up surface nanofabrication: Techniques, applications & future prospects. Advances in Colloid and Interface Science, 2012, 170(1–2): 2–27

    Article  Google Scholar 

  11. Gratton S E A, Williams S S, Napier M E, et al. The pursuit of a scalable nanofabrication platform for use in material and life science applications. Accounts of Chemical Research, 2008, 41(12): 1685–1695

    Article  Google Scholar 

  12. Tseng A A, Jou S, Notargiacomo A, et al. Recent developments in tip-based nanofabrication and its roadmap. Journal of Nanoscience and Nanotechnology, 2008, 8(5): 2167–2186

    Article  Google Scholar 

  13. Supran G J, Shirasaki Y, Song K W, et al. QLEDs for displays and solid-state lighting. MRS Bulletin, 2013, 38(09): 703–711

    Article  Google Scholar 

  14. Lim S K, Perrier S, Neto C. Patterned chemisorption of proteins by thin polymer film dewetting. Soft Matter, 2013, 9(9): 2598–2602

    Article  Google Scholar 

  15. Benor A, Hoppe A, Wagner V, et al. Microcontact printing and selective surface dewetting for large area electronic applications. Thin Solid Films, 2007, 515(19): 7679–7682

    Article  Google Scholar 

  16. Gout S, Coulm J, Léonard D, et al. Silver localization on polyimide using microcontact printing and electroless metallization. Applied Surface Science, 2014, 307: 716–723

    Article  Google Scholar 

  17. Mondin G, Schumm B, Fritsch J, et al. Fabrication of micro-and submicrometer silver patterns by microcontact printing of mercaptosilanes and direct electroless metallization. Microelectronic Engineering, 2013, 104: 100–104

    Article  Google Scholar 

  18. King E, Xia Y, Zhao X M, et al. Solvent-assisted microcontact molding: A convenient method for fabricating three-dimensional structures on surfaces of polymers. Advanced Materials, 1997, 9(8): 651–654

    Article  Google Scholar 

  19. Wan W, Qiao W, Huang W, et al. Efficient fabrication method of nano-grating for 3D holographic display with full parallax views. Optics Express, 2016, 24(6): 6203–6212

    Article  Google Scholar 

  20. Park S R, Kwon O J, Shin D, et al. Grating micro-dot patterned light guide plates for LED backlights. Optics Express, 2007, 15(6): 2888–2899

    Article  Google Scholar 

  21. Lee C K, Wu J W J, Yeh S L, et al. Optical configuration and colorrepresentation range of a variable-pitch dot matrix holographic printer. Applied Optics, 2000, 39(1): 40–53

    Article  Google Scholar 

  22. Lu C, Lipson R. Interference lithography: A powerful tool for fabricating periodic structures. Laser & Photonics Reviews, 2010, 4 (4): 568–580

    Article  Google Scholar 

  23. Brueck S. Optical and interferometric lithography—Nanotechnology enablers. Proceedings of the IEEE, 2005, 93(10): 1704–1721

    Article  Google Scholar 

  24. Ouk Kim S, Solak H H, Stoykovich M P, et al. Epitaxial selfassembly of block copolymers on lithographically defined nanopatterned substrates. Nature, 2003, 424(6947): 411–414

    Article  Google Scholar 

  25. Garcia R, Knoll A W, Riedo E. Advanced scanning probe lithography. Nature Nanotechnology, 2014, 9(8): 577–587

    Article  Google Scholar 

  26. Bates C M, Maher M J, Janes D W, et al. Block copolymer lithography. Macromolecules, 2014, 47(1): 2–12

    Article  Google Scholar 

  27. Hawker C J, Russell T P. Block copolymer lithography: Merging “bottom-up” with “top-down” processes. MRS Bulletin, 2005, 30 (12): 952–966

    Article  Google Scholar 

  28. Kim H C, Park S M, Hinsberg W D. Block copolymer based nanostructures: Materials, processes, and applications to electronics. Chemical Reviews, 2010, 110(1): 146–177

    Article  Google Scholar 

  29. Wan L, Ruiz R, Gao H, et al. The limits of lamellae-forming PS-b-PMMA block copolymers for lithography. ACS Nano, 2015, 9(7): 7506–7514

    Article  Google Scholar 

  30. Bae S, Kim H, Lee Y, et al. Roll-to-roll production of 30-inch graphene films for transparent electrodes. Nature Nanotechnology, 2010, 5(8): 574–578

    Article  Google Scholar 

  31. Kooy N, Mohamed K, Pin L T, et al. A review of roll-to-roll nanoimprint lithography. Nanoscale Research Letters, 2014, 9(1): 320

    Article  Google Scholar 

  32. Yoshikawa H, Taniguchi J, Tazaki G, et al. Fabrication of highaspect- ratio pattern via high throughput roll-to-roll ultraviolet nanoimprint lithography. Microelectronic Engineering, 2013, 112: 273–277

    Article  Google Scholar 

  33. Ahn S H, Guo L J. High-speed roll-to-roll nanoimprint lithography on flexible plastic substrates. Advanced Materials, 2008, 20(11): 2044–2049

    Article  Google Scholar 

  34. Ahn S H, Guo L J. Large-area roll-to-roll and roll-to-plate nanoimprint lithography: A step toward high-throughput application of continuous nanoimprinting. ACS Nano, 2009, 3(8): 2304–2310

    Article  Google Scholar 

  35. Ok J G, Seok Youn H, Kyu Kwak M, et al. Continuous and scalable fabrication of flexible metamaterial films via roll-to-roll nanoimprint process for broadband plasmonic infrared filters. Applied Physics Letters, 2012, 101(22): 223102

    Article  Google Scholar 

  36. Ruiz R, Kang H, Detcheverry F A, et al. Density multiplication and improved lithography by directed block copolymer assembly. Science, 2008, 321(5891): 936–939

    Article  Google Scholar 

  37. Seltmann R, Doleschal W, Gehner A, et al. New system for fast submicron optical direct writing. Microelectronic Engineering, 1996, 30(1–4): 123–127

    Article  Google Scholar 

  38. Zhang A P, Qu X, Soman P, et al. Rapid fabrication of complex 3D extracellular microenvironments by dynamic optical projection stereolithography. Advanced Materials, 2012, 24(31): 4266–4270

    Article  Google Scholar 

  39. Scholder O, Jefimovs K, Shorubalko I, et al. Helium focused ion beam fabricated plasmonic antennas with sub-5 nm gaps. Nanotechnology, 2013, 24(39): 395301

    Article  Google Scholar 

  40. Tseng A A. Recent developments in nanofabrication using focused ion beams. Small, 2005, 1(10): 924–939

    Article  Google Scholar 

  41. Utke I, Moshkalev S, Russell P. Nanofabrication Using Focused Ion and Electron Beams: Principles and Applications. New York: Oxford University Press, 2012

    Google Scholar 

  42. Watt F, Bettiol A, Van Kan J, et al. Ion beam lithography and nanofabrication: A review. International Journal of Nanoscience, 2005, 04(03): 269–286

    Article  Google Scholar 

  43. Piqué A, Chrisey D B, Auyeung R C Y, et al. A novel laser transfer process for direct writing of electronic and sensor materials. Applied Physics A: Materials Science & Processing, 1999, 69(Suppl): S279–S284

    Article  Google Scholar 

  44. Shir D, Liao H, Jeon S, et al. Three-dimensional nanostructures formed by single step, two-photon exposures through elastomeric Penrose quasicrystal phase masks. Nano Letters, 2008, 8(8): 2236–2244

    Article  Google Scholar 

  45. Singer J P, Lee J H, Kooi S E, et al. Rapid fabrication of 3D terahertz split ring resonator arrays by novel single-shot direct write focused proximity field nanopatterning. Optics Express, 2012, 20(10): 11097–11108

    Article  Google Scholar 

  46. Bloomstein T, Marchant M F, Deneault S, et al. 22-nm immersion interference lithography. Optics Express, 2006, 14(14): 6434–6443

    Article  Google Scholar 

  47. Quiñónez F, Menezes J, Cescato L, et al. Band gap of hexagonal 2D photonic crystals with elliptical holes recorded by interference lithography. Optics Express, 2006, 14(11): 4873–4879

    Article  Google Scholar 

  48. Escuti M J, Crawford G P. Holographic photonic crystals. Optical Engineering, 2004, 43(9): 1973–1987

    Article  Google Scholar 

  49. Lu Y T, Chi S. Compact, reliable asymmetric optical configuration for cost-effective fabrication of multiplex dot matrix hologram in anti-counterfeiting applications. Optik-International Journal for Light and Electron Optics, 2003, 114(4): 161–167

    Article  Google Scholar 

  50. Wan W, Huang W, Pu D, et al. High performance organic distributed Bragg reflector lasers fabricated by dot matrix holography. Optics Express, 2015, 23(25): 31926–31935

    Article  Google Scholar 

  51. Tseng A A, Notargiacomo A, Chen T P. Nanofabrication by scanning probe microscope lithography: A review. Journal of Vacuum Science & Technology B, 2005, 23(3): 877

    Article  Google Scholar 

  52. Cheong L L, Paul P, Holzner F, et al. Thermal probe maskless lithography for 27.5 nm half-pitch Si technology. Nano Letters, 2013, 13(9): 4485–4491

    Article  Google Scholar 

  53. Kim B H, Kim J Y, Kim S O. Directed self-assembly of block copolymers for universal nanopatterning. Soft Matter, 2013, 9(10): 2780–2786

    Article  Google Scholar 

  54. Gu W, Xu J, Kim J K, et al. Solvent-assisted directed self-assembly of spherical microdomain block copolymers to high areal density arrays. Advanced Materials, 2013, 25(27): 3677–3682

    Article  Google Scholar 

  55. Sivaniah E, Matsubara S, Zhao Y, et al. Symmetric diblock copolymer thin films on rough substrates: Microdomain periodicity in pure and blended films. Macromolecules, 2008, 41(7): 2584–2592

    Article  Google Scholar 

  56. Jeong S J, Moon H S, Kim B H, et al. Ultralarge-area block copolymer lithography enabled by disposable photoresist prepatterning. ACS Nano, 2010, 4(9): 5181–5186

    Article  Google Scholar 

  57. Jeong S J, Kim J E, Moon H S, et al. Soft graphoepitaxy of block copolymer assembly with disposable photoresist confinement. Nano Letters, 2009, 9(6): 2300–2305

    Article  Google Scholar 

  58. Sun Z, Chen Z, Zhang W, et al. Directed self-assembly of poly (2-vinylpyridine)-b-polystyrene-b-poly (2-vinylpyridine) triblock copolymer with sub-15 nm spacing line patterns using a nanoimprinted photoresist template. Advanced Materials, 2015, 27 (29): 4364–4370

    Article  Google Scholar 

  59. Cushen J, Wan L, Blachut G, et al. Double-patterned sidewall directed self-assembly and pattern transfer of sub-10 nm PTMSS-b- PMOST. ACS Applied Materials & Interfaces, 2015, 7(24): 13476–13483

    Article  Google Scholar 

  60. Chou S Y, Krauss P R, Renstrom P J. Imprint of sub-25 nm vias and trenches in polymers. Applied Physics Letters, 1995, 67(21): 3114–3116

    Article  Google Scholar 

  61. Chou S Y, Krauss P R, Renstrom P J. Imprint lithography with 25- nanometer resolution. Science, 1996, 272(5258): 85–87

    Article  Google Scholar 

  62. Chou S Y, Krauss P R, Zhang W, et al. Sub-10 nm imprint lithography and applications. Journal of Vacuum Science & Technology B, 1997, 15(6): 2897–2904

    Article  Google Scholar 

  63. Lan H, Ding Y, Liu H, et al. Mold deformation in soft UVnanoimprint lithography. Science in China Series E: Technological Sciences, 2009, 52(2): 294–302

    Article  Google Scholar 

  64. Ruchhoeft P, Colburn M, Choi B, et al. Patterning curved surfaces: Template generation by ion beam proximity lithography and relief transfer by step and flash imprint lithography. Journal of Vacuum Science & Technology B, 1999, 17(6): 2965–2969

    Article  Google Scholar 

  65. Resnick D, Dauksher W, Mancini D, et al. Imprint lithography for integrated circuit fabrication. Journal of Vacuum Science & Technology B, 2003, 21(6): 2624–2631

    Article  Google Scholar 

  66. Dauksher W, Nordquist K, Mancini D, et al. Characterization of and imprint results using indium tin oxide-based step and flash imprint lithography templates. Journal of Vacuum Science & Technology B, 2002, 20(6): 2857–2861

    Article  Google Scholar 

  67. Kim H J, Almanza-Workman M, Garcia B, et al. Roll-to-roll manufacturing of electronics on flexible substrates using selfaligned imprint lithography (SAIL). Journal of the Society for Information Display, 2009, 17(11): 963–970

    Article  Google Scholar 

  68. Sreenivasan S, McMackin I, Xu F, et al. Using reverse-tone bilayer etch in ultraviolet nanoimprint lithography. MICRO, 2005, 23(1): 37–44

    Google Scholar 

  69. Liang X, Zhang W, Li M, et al. Electrostatic force-assisted nanoimprint lithography (EFAN). Nano Letters, 2005, 5(3): 527–530

    Article  Google Scholar 

  70. Hirai Y, Konishi T, Yoshikawa T, et al. Simulation and experimental study of polymer deformation in nanoimprint lithography. Journal of Vacuum Science & Technology B, 2004, 22(6): 3288–3293

    Article  Google Scholar 

  71. Li X, Shao J, Tian H, et al. Fabrication of high-aspect-ratio microstructures using dielectrophoresis-electrocapillary forcedriven UV-imprinting. Journal of Micromechanics and Microengineering, 2011, 21(6): 065010

    Article  Google Scholar 

  72. Li X, Tian H, Wang C, et al. Electrowetting assisted air detrapping in transfer micromolding for difficult-to-mold microstructures. ACS Applied Materials & Interfaces, 2014, 6(15): 12737–12743

    Article  Google Scholar 

  73. Tian H, Shao J, Ding Y, et al. Electrohydrodynamic micro-/nanostructuring processes based on prepatterned polymer and prepatterned template. Macromolecules, 2014, 47(4): 1433–1438

    Article  Google Scholar 

Download references

Acknowledgments

The authors acknowledge financial support given by the National Natural Science Foundation of China (Grant Nos. 91323303, 61401292, 61405133, 61505131, and 61575135), the Jiangsu Science and Technology Department (Grant Nos. BK20140350, BK20140348, and BK20150309), the Specialized Research Fund for the Doctoral Program of Higher Education (Grant No. 20133201120027), the China Postdoctoral Science Foundation (Grant No. 2015M571816), and the project of the Priority Academic Program Development (PAPD) of Jiangsu Higher Education Institutions.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Wen Qiao.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Huang, W., Yu, X., Liu, Y. et al. A review of the scalable nano-manufacturing technology for flexible devices. Front. Mech. Eng. 12, 99–109 (2017). https://doi.org/10.1007/s11465-017-0416-3

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11465-017-0416-3

Keywords

Navigation