Skip to main content
Log in

CRAIS: A Crossbar-Based Interconnection Scheme on FPGA for Big Data

  • Regular Paper
  • Published:
Journal of Computer Science and Technology Aims and scope Submit manuscript

Abstract

On-chip interconnection has posed significant challenges in multiprocessor system on chip (MPSoC) design paradigm, especially in big data era. With respect to the state-of-the-art, crossbar-based interconnection methodologies are still efficient for FPGA-based small-scale heterogeneous MPSoCs. This paper proposes a crossbar-based on-chip interconnection scheme, named CRAIS. CRAIS utilizes reconfigurable crossbar interconnections between microprocessors and intellectual property (IP) cores in MPSoC. The hardware interconnection can be dynamically reconfigured during execution. Empirical results on FPGA prototype demonstrate that CRAIS can achieve more than 7X speedup compared with the state-of-the-art StarNet approach, while it only utilizes 21%∼35% hardware resources of StarNet.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Howe D, Costanzo M, Fey P et al. Big data: The future of biocuration. Nature, 2008, 455:47-50.

    Article  Google Scholar 

  2. Singh S. Computing without processors. Communications of ACM, 2011, 54(8):46-54.

    Article  Google Scholar 

  3. Huang Y, Ienne P, Temam O et al. Elastic CGRAs. In Proc. ACM/SIGDA International Symposium on Field Programmable Gate Arrays, February 2013, pp.171-180.

  4. Chen T, Du Z, Sun N et al. DianNao: A small-footprint high-throughput accelerator for ubiquitous machine learning. In Proc. the 19th International Conference on Architectural Support for Programming Languages and Operating Systems, March 2014, pp.269-284.

  5. Chen Y, Luo T, Liu S et al. DaDianNao: A machinelearning supercomputer. In Proc. the 47th IEEE/ACM International Symposium on Microarchitecture, December 2014.

  6. Wang C, Li X, Chen P et al. Heterogeneous cloud framework for big data genome sequencing. IEEE/ACM Transactions on Computational Biology and Bioinformatics, 2014. (preprint)

  7. Wawrzynek J, Patterson D, Oskin M et al. RAMP: Research accelerator for multiple processors. IEEE Micro, 2007, 27(2):46-57.

    Article  Google Scholar 

  8. Panainte E, Bertels K, Vassiliadis S. The Molen compiler for reconfigurable processors. ACM Transactions on Embedded Computing Systems, 2007, 6(1): Article No. 6.

  9. Benini L, De Micheli G. Networks on chips: A new SoC paradigm. IEEE Computer, 2002, 35(1):70-78.

    Article  Google Scholar 

  10. Wolf W, Jerraya A, Martin G. Multiprocessor systemon-chip (MPSoC) technology. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2008, 27(10):1701-1713.

    Article  Google Scholar 

  11. Dally W J, Towles B. Route, packets, not wires: On-chip interconnection networks. In Proc. the 38th Annual Design Automation Conference. June 2001, pp.684-689.

  12. Tuan V, Katsura N, Matsutani H et al. Evaluation of a multicore reconfigurable architecture with variable core sizes. In Proc. IEEE International Symposium on Parallel & Distributed Processing, May 2009.

  13. Tuan V M, Amano H. A mapping method for multi-process execution on dynamically reconfigurable processors. In Proc. the International Conference on Field-Programmable Technology, December 2007, pp.357-360.

  14. Liu S, Chen T, Li L et al. FreeRider: Non-local adaptive network-on-chip routing with packet-carried propagation of congestion information. IEEE Transactions on Parallel and Distributed Systems, 2014. (to be appeared).

  15. Schleupen K, Lelaich S, Mannion R et al. Dynamic partial FPGA reconfiguration in a prototype microprocessor system. In Proc. the International Conference on Field Programmable Logic and Applications, August 2007, pp.533-536.

  16. Kistler M, Perrone M, Petrini F. Cell multiprocessor communication network: Built for speed. IEEE Micro, 2006, 26(3):10-23.

    Article  Google Scholar 

  17. Hoskote Y, Vangal S, Singh A et al. A 5-GHz mesh interconnect for a teraflops processor. IEEE Micro, 2007, 27(5):51-61.

    Article  Google Scholar 

  18. Samuelsson H, Kumar S. Ring road NoC architecture. In Proc. Norchip Conference, November 2004, pp.16-19.

  19. Kwark J W, Jhon C S. Torus ring: Improving performance of interconnection network by modifying hierarchical ring. Parallel Computing, 2007, 33(1):2-20.

    Article  MathSciNet  Google Scholar 

  20. Bourduas S, Zilic Z. A hybrid ring/mesh interconnect for network-on-chip using hierarchical rings for global routing. In Proc. the 1st International Symposium on Networks-on-Chip, May 2007, pp.195-204.

  21. Madsen J, Stidsen T, Kjaerulf P et al. Multi-objective design space exploration of embedded system platforms. In Proc. the IFIP TC 10 Working Conference on Distributed and Parallel Embedded Systems, October 2006, pp.185-194.

  22. Kumar A, Hansson A, Huisken J et al. An FPGA design flow for reconfigurable network-based multi-processor systems on chip. In Proc. the Design, Automation & Test in Europe Conference & Exhibition, April 2007.

  23. Dittmann F, Gotz M, Rettberg A. Model and methodology for the synthesis of heterogeneous and partially reconfigurable systems. In Proc. IEEE International Parallel and Distributed Processing Symposium, March 2007.

  24. Faruque M, Ebi T, Henkel J. Runtime adaptive on-chip communication scheme. In Proc. IEEE/ACM International Conference on Computer-Aided Design, November 2007, pp.26-31.

  25. Zheng L, Cai J, Du M et al. Hybrid communication reconfigurable network on chip for MPSoC. In Proc. the 24th IEEE International Conference on Advanced Information Networking and Applications, April 2010, pp.356-361.

  26. Gohringer D, Becker J. High performance reconfigurable multi-processor-based computing on FPGAs. In Proc. IEEE International Symposium on Parallel & Distributed Processing, Workshops and PhD Forum, April 2010.

  27. Wang C, Zhang J, Zhou X et al. A flexible high speed star network based on peer to peer links on FPGA. In Proc. the 9th IEEE International Symposium on Parallel and Distributed Processing with Applications, May 2011, pp.107-112.

  28. Wang C, Li X, Zhou X et al. CRAIS: A crossbar based adaptive interconnection scheme. In Proc. the 8th International Symposium on Recon_gurable Computing: Architectures, Tools and Applications, March 2012, pp.379-384.

  29. Daya B, Chen C, Subramanian S et al. SCORPIO: A 36-core research chip demonstrating snoopy coherence on a scalable mesh NoC with in-network ordering. In Proc. the 41st ACM/IEEE International Symposium on Computer Architecture, June 2014, pp.25-36.

  30. Wang C, Li X, Zhang J et al. A star network approach in heterogeneous multiprocessors system on chip. The Journal of Supercomputing, 2012, 62(3):1404-1424.

    Article  Google Scholar 

  31. Freitas H, Carvalho M, Amaral A et al. Reconfigurable crossbar switch architecture for network processors. In Proc. IEEE International Symposium on Circuits and Systems, May 2006.

  32. Young S, Alfke P, Fewer C et al. A high I/O reconfigurable crossbar switch. In Proc. the 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, April 2003, pp.3-10.

  33. Rosinger H P. Connecting customized IP to the MicroBlaze soft processor using the Fast Simplex Link (FSL) channel. XILINXr XAPP529, May 2004. http://www.xilinx.com/support/documentation/applicationnotes/xapp529.pdf, Dec. 2014.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Xi Li.

Additional information

This work was supported by the National Natural Science Foundation of China under Grant Nos. 61379040, 61272131, 61202053, 61222204, and 61221062, the Natural Science Foundation of Jiangsu Province of China under Grant No. SBK201240198, the Fundamental Research Funds for the Central Universities of China under Grant No. WK0110000034, the Open Project of State Key Laboratory of Computer Architecture, Institute of Computing Technology, Chinese Academy of Sciences (CAS) under Grant No. CARCH201407, and the Strategic Priority Research Program of CAS under Grant No. XDA06010403.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Wang, C., Li, X. & Zhou, XH. CRAIS: A Crossbar-Based Interconnection Scheme on FPGA for Big Data. J. Comput. Sci. Technol. 30, 84–96 (2015). https://doi.org/10.1007/s11390-015-1506-5

Download citation

  • Received:

  • Revised:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11390-015-1506-5

Keywords

Navigation