Skip to main content
Log in

Clock Skew Minimization in Multiple Dynamic Supply Voltage with Adjustable Delay Buffers Restriction

  • Published:
Journal of Signal Processing Systems Aims and scope Submit manuscript

Abstract

Multiple dynamic supply voltage (MDSV) designs can be used to reduce power consumption. However, power modes operation with different voltages will cause increasing of the clock skew. The adjustable delay buffers (ADBs) can be used to minimize clock skew under different power modes but it is unlikely to add an unlimited number of ADBs in real world. In the paper, we first assign positions of adjustable delay buffers in a given clock tree to generate zero clock skew. If the number of ADBs is not satisfied with the constraints in the previous solution, a bottom-up method is then used to remove some adjustable delay buffers so that the clock skew is minimized under satisfying all constraints. Finally, the experimental results show that our design is very practical.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Figure 1
Figure 2
Figure 3
Figure 4

Similar content being viewed by others

References

  1. Boese, K. D., & Kahng, A. B. (1992). Zero-skew clock routing trees with minimum wire length. In Proc. 5th IEEE Int. Conf. ASIC (pp. 17–21).

  2. Chao, T. H., Hsu, Y. C., Ho, J. M., Boese, K. D., & Kahng, A. B. (1992). Zero skew clock net routing. IEEE Transactions on Circuits and Systems II, 39(11), 799–814.

    Article  MATH  Google Scholar 

  3. Pullela, S., Menezes, N., & Pillage, L. T. (1993). Reliable non-zero skew clock tree using wire width optimization. In Proc. Design Automat. Conf (pp. 165–170).

  4. Tsai, J.-L., Chen, T.-H., & Chen, C. C.-P. (2004). Zero skew clock tree optimization with buffer insertion/sizing and wire sizing. IEEE Transactions on CAD, 23(4), 565–572.

    Article  MathSciNet  Google Scholar 

  5. Wang, K., Ran, Y., Jiang, H., & Marek-Sadowska, M. (2005). General skew constrained clock network sizing based on sequential linear programming. IEEE Transactions on Computer-Aided Design Integrated Circuits and Systems, 24(5), 773–782.

    Article  Google Scholar 

  6. Zhu, Q., Dai, W. W.-M., & Xi, J. G. (1993). Optimal sizing of high speed clock networks based on distributed RC and transmission line models. In Proc. Intl. Conf. Comput.-Aided Design (pp. 628–633).

  7. Zhu, Q., Dai, W. W.-M., & Xi, J. G. (1996). High-speed clock network sizing optimization based on distributed RC and lossy RLC interconnect models. IEEE Transactions on Computer-Aided Design, 15(9), 1106–1118.

    Article  Google Scholar 

  8. Cong, J., Pan, Z., He, L., Koh, C.-K., & Khoo, K.-Y. (1997). Interconnect design for deep submicron ICs. In Proc. IEEE/ACM Int. Conf. Comput.-Aided Design (pp. 478–485).

  9. Liu, I.-M., Chou, T.-L., Aziz, A., & Wong, D. F. (2000). Zero-skew clock tree construction by simultaneous routing, wire sizing, and buffer insertion. In Proc. Int. Symp. Phys. Design (pp. 33–38).

  10. Cong, J., Koh, C., & Leung, K. (1996). Simultaneous buffer and wire sizing for performance and power optimization. In Proc. of Int. Symposium on Low Power Electron. Design (pp. 271–276).

  11. Kurd, N. A., Barkatullah, J. S., Dizon, R. O., Fletcher, T. D., & Madland, P. D. (2001). A multigigahertz clocking scheme for Pentium 4Microprocessor. IEEE Journal of Solid-State Circuits, 36, 1647–1653.

    Article  Google Scholar 

  12. Mahoney, P., Fetzer, E., Doyle, B., & Naffziger, S. (2005). Clock distribution on a dual-core multi-threaded Itanium-family processor. In Proc. Dig. Tech. Papers Int. Solid-State Circuits Conf (pp. 292–293).

  13. Tam, S., Rusu, S., Desai, U. N., Kim, R., Zhang, J., & Young, I. (2000). Clock generation and distribution for the first IA-64 microprocessor. IEEE Journal of Solid-State Circuits, 35(11), 1545–1552.

    Article  Google Scholar 

  14. Takahashi, E., Kasai, Y., Murakawa, M., & Higuchi, T. (2003). A post-silicon clock timing adjustment using genetic algorithms. In Proc. Dig. Tech. Papers Symp. VLSI Circuits (pp. 13–16).

  15. Tsai, J.-L., Zhang, L., & Chen, C. (2005). Statistical timing analysis driven post-silicon-tunable clock-tree synthesis. In Proc. Int. Conf. Comput.-Aided Design (pp. 575–581).

  16. Tsai, J.-L., Baik, D., Chen, C. C.-P., & Saluja, K. K. (2004). A yield improvement methodology using pre and post-silicon statistical clock scheduling. In Proc. IEEE/ACM Int. Conf. Comput.-Aided Design (pp. 611–618).

  17. Hu, S., & Hu, J. (2007). Unified adaptivity optimization of clock and logic signals. In Proc. IEEE/ACM Int. Conf. Comput.-Aided Design (pp. 5–8).

  18. Khandelwal, V., & Srivastava, A. (2007). Variability-driven formulation for simultaneous gate sizing and post-silicon tunability allocation. In Proc. Int. Symp. Phys. Design (pp. 11–18).

  19. Su, Y. S., Hon, W. K., Yang, C. C., Chang, S. C., & Chang, Y. J. (2010). Clock skew minimization in multi-voltage mode designs using adjustable delay buffers. IEEE Transactions on Computer-Aided Design, 29(12), 1921–1930.

    Article  Google Scholar 

  20. Hu, S., & Hu, J. (2007). Unified adaptivity optimization of clock and logic signals. In Proc. of the IEEE/ACM international conference on Computer-aided Design.

  21. Khandelwal, V., & Srivastava, A. (2007). Variability-Driven formulation for simultaneous gate sizing and post-silicon tunability allocation. In Proc. of Int. Symposium on Physical Design (pp. 11–18).

Download references

Acknowledgments

This work was supported by National Science Council, Taiwan NSC 101-2221-E-024-020.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Chi-Chou Kao.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Kao, CC. Clock Skew Minimization in Multiple Dynamic Supply Voltage with Adjustable Delay Buffers Restriction. J Sign Process Syst 79, 99–104 (2015). https://doi.org/10.1007/s11265-014-0888-x

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11265-014-0888-x

Keywords

Navigation