Skip to main content

Advertisement

Log in

Continuous prediction of manufacturing performance throughout the production lifecycle

  • Published:
Journal of Intelligent Manufacturing Aims and scope Submit manuscript

Abstract

We describe methods for continual prediction of manufactured product quality prior to final testing. In our most expansive modeling approach, an estimated final characteristic of a product is updated after each manufacturing operation. Our initial application is for the manufacture of microprocessors, and we predict final microprocessor speed. Using these predictions, early corrective manufacturing actions may be taken to increase the speed of expected slow wafers (a collection of microprocessors) or reduce the speed of fast wafers. Such predictions may also be used to initiate corrective supply chain management actions. Developing statistical learning models for this task has many complicating factors: (a) a temporally unstable population (b) missing data that is a result of sparsely sampled measurements and (c) relatively few available measurements prior to corrective action opportunities. In a real manufacturing pilot application, our automated models selected 125 fast wafers in real-time. As predicted, those wafers were significantly faster than average. During manufacture, downstream corrective processing restored 25 nominally unacceptable wafers to normal operation.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6

Similar content being viewed by others

References

  • Apte, C., Weiss, S., & Grout, G. (1993). Predicting defects in disk drive manufacturing: A case study in high-dimensional classification. In IEEE CAIA (Vol. 93, pp. 212–218).

  • Bao, X., Bergman, L., & Thompson, R. (2009). Stacking recommendation engines with additional meta-features. In RecSys ’09: Proceedings of the third ACM conference on recommender systems (pp. 109–116). New York, NY, USA: ACM.

  • Bell, R. M., Bennett, J., Koren, Y., & Volinsky, C. (2009). The million dollar programming prize. IEEE Spectrum, 46(5), 28–33.

    Google Scholar 

  • Chien, C., Chang, K., & Wang, W. (2013). An empirical study of design-of-experiment data mining for yield-loss diagnosis for semiconductor manufacturing. Journal of Intelligent Manufacturing.

  • Chien, C., Hsu, C., & Hsiao, C. (2012). Manufacturing intelligence to forecast and reduce semiconductor cycle time. Journal of Intelligent Manufacturing, 23, 2281–2294.

    Article  Google Scholar 

  • Dzeroski, S., & Ženko, B. (2004). Is combining classifiers with stacking better than selecting the best one? Machine Learning, 54(3), 255–273.

    Article  Google Scholar 

  • Fountain, T., Dietterich, T., & Sudyka, B. (2000). Mining IC test data to optimize VLSI testing. In Proceedings of the sixth ACM SIGKDD international conference on knowledge discovery and data mining (pp. 18–25).

  • Goodwin, R., Miller, R., Tuv, E., Borisov, A., Janakiram, M., & Louchheim, S. (2004). Advancements and applications of statistical learning/data mining in semiconductor manufacturing. Intel Technology Journal, 8(4), 325–336.

    Google Scholar 

  • Harding, J., Shahbaz, M., Srinivas, S., & Kusiak, A. (2006). Data mining in manufacturing: A review. Manufacturing Science and Engineering, 128(4), 969–976.

    Google Scholar 

  • He, J. & Zhu, Y. (2012). Hierarchical multi-task learning with application to wafer quality prediction. In IEEE 12th international conference on data mining (ICDM).

  • Holden, T., & Serearuno, M. (2005). A hybrid artificial intelligence approach for improving yield in precious stone manufacturing. Journal of Intelligent Manufacturing, 16, 21–38.

    Article  Google Scholar 

  • Hu, H. (2009). Supervised learning models in sort yield modeling. In Advanced semiconductor manufacturing conference (pp. 133–136).

  • Irani, K. B., Cheng, J., Fayyad, U. M., & Qian, Z. (1993). Applying machine learning to semiconductor manufacturing. IEEE Expert: Intelligent Systems and Their Applications, 8(1), 41–47.

    Article  Google Scholar 

  • Khan, A., Moyne, J., & Tilbury, D. (2007). An approach for factory-wide control utilizing virtual metrology. IEEE Transactions on Semiconductor Manufacturing, 20, 364–375.

    Article  Google Scholar 

  • Krueger, D., Montgomery, D., & Mastrangelo, C. (2011). Application of generalized linear models to predict semiconductor yield using defect metrology data. IEEE Transactions on Semiconductor Manufacturing, 24, 44–58.

    Article  Google Scholar 

  • Kumar, N., Kennedy, K., Gildersleeve, K., Abelson, R., Mastrangelo, C., & Montgomery, D. (2006). A review of yield modeling techniques for semiconductor manufacturing. International Journal of Production Research, 44, 5019–5036.

    Article  Google Scholar 

  • Lee, D. Y., Cho, H. S., & Cho, D. Y. (2000). A neural network model to determine the plate width set-up value in a hot plate mill. Journal of Intelligent Manufacturing, 11, 547–557.

    Article  Google Scholar 

  • Li, T.-S., Huang, C.-L., & Wu, Z.-Y. (2006). Data mining using genetic programming for construction of a semiconductor manufacturing yield rate prediction system. Journal of Intelligent Manufacturing, 17, 355–361.

    Article  Google Scholar 

  • Liu, Y., Kalagnanam, J., & Johnsen, O. (2009). Learning dynamic temporal graphs for oil-production equipment monitoring system. In KDD (pp. 1225–1234). New York, NY, USA: ACM.

  • Melzner, H. (2002). Statistical modeling and analysis of wafer test fail counts. In Advanced semiconductor manufacturing 2002 IEEE/SEMI conference and workshop (pp. 266–271).

  • Schapire, R. (1990). The strength of weak learnability. Machine Learning, 5, 197–227.

    Google Scholar 

  • Stapper, C. (1989). Fact and fictions in yield modeling. Microelectronics Journal, 8, 103–109.

    Google Scholar 

  • Su, C.-T., & Chiang, T.-L. (2003). Chiang optimizing the IC wire bonding process using a neural networks/genetic algorithms. Journal of Intelligent Manufacturing, 14, 229–238.

    Article  Google Scholar 

  • Vapnik, V. (1998). Statistical learning theory. New York: Wiley.

    Google Scholar 

  • Weber, C. (2004). Yield learning and the sources of profitability in semiconductor manufacturing and process development. IEEE Transactions on Semiconductor Manufacturing, 17(4), 590–596.

    Article  Google Scholar 

  • Weiss, S., Baseman, R., Tipu, F., et al. (2010). Rule-based data mining for yield improvement in semiconductor manufacturing. Applied Intelligence, 3, 318–329.

    Article  Google Scholar 

  • Yeh, C., Chen, C., & Chen, K. (2007). Validation and evaluation for defect-kill-rate and yield estimation models in semiconductor manufacturing. International Journal of Production Research, 45, 829–844.

    Article  Google Scholar 

  • Zhu, Y. & Baseman, R. (2012). Virtual metrology and run-to-run control in semiconductor manufacturing. In 18th ISSAT international conference on reliability and quality in design.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sholom M. Weiss.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Weiss, S.M., Dhurandhar, A., Baseman, R.J. et al. Continuous prediction of manufacturing performance throughout the production lifecycle. J Intell Manuf 27, 751–763 (2016). https://doi.org/10.1007/s10845-014-0911-x

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10845-014-0911-x

Keywords

Navigation