Skip to main content
Log in

Reexamining anomaly temporal behaviors in SPEC CPU workloads: self-similar or not?

  • Published:
Cluster Computing Aims and scope Submit manuscript

    We’re sorry, something doesn't seem to be working properly.

    Please try refreshing the page. If that doesn't work, please contact support so we can address the problem.

Abstract

This paper studies the correlation of memory accesses in high-performance computer systems from a time dependence perspective, and concludes that correlations in memory access-arrival times are inconsistent, either with little correlation or with evident and abundant correlations. Thus neither independent identically distributed or self-similar is appropriate to characterize all memory activities. For memory workload with evident correlations, we present both pictorial and statistical evidence that memory accesses have self-similar like behavior. In addition, we implement a memory access series generator in which the inputs are the measured properties of the available trace data. Experimental results show that this model can accurately emulate the complex access arrival behaviors in both workloads with little and strong correlations, particularly for the burstiness characteristics in the memory workloads.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6

Similar content being viewed by others

References

  1. Barroso, L. A., Gharachorloo, K. and Bugnion, E.: Memory system characterization of commercial workloads. In: Proceedings of the 25th International Symposium on Computer Architecture (ISCA), Barcelona, Spain, June 1998.

  2. Lee, D., Crowley, P., Baer, J., Anderson, T., et al.: Execution characteristics of desktop applications on windows NT. In: Proceedings of the 25th International Symposium Computer Architecture (ISCA). Barcelona, Spain, June 1998.

  3. Xu, Z., Sohoni, S., Min, R., Hu, Y.: An analysis of the cache performance of multimedia applications. IEEE Trans. Comput. 53(1), 20–38 (January 2004)

  4. Liu, H. Li, R. Gao, Q., et al.: Characterizing memory behavior of XML data querying on CMP. In: Proceedings of the Workshop for Computer Architecture Evaluation of Commerical Workloads (CAECW’08).

  5. Binkert, N.L., Dreslinski, R.G., Hsu, L.R., et al.: The m5 simulator: modeling networked systems. IEEE Micro 26(4), 52–60 (2006)

    Article  Google Scholar 

  6. Burger, D. C. and Austin, T. M.: The simplescalar tool set, version 2.0. Technical Report CS-TR-97-1342. University of Wisconsin, Madison, June 1997.

  7. Henning, J.: SPEC CPU2000: measuring CPU performance in the new millennium. IEEE Comput. 33(7), 28–35 (2000)

    Article  Google Scholar 

  8. Jaleel, A.: Memory characterization of workloads using instrumentation-driven simulation-A pin-based memory characterization of the SPEC CPU2000 and SPEC CPU2006 benchmark suites. VSSAD Technical Report 2007.

  9. Sair, S. and Charney, M.: Memory Behavior of the SPEC CPU2000 Benchmark Suite. IBM Thomas J. Watson Research Center Technical, Report RC-21852, October 2000.

  10. Ye, D., Ray, J., Kaeli, D.: Characterization of file I/O activity for SPEC CPU2006. ACM SIGARCH Comput. Archit. News 35(1), 112–117 (March 2007)

  11. Eeckhout, L., Bell Jr., R. H., Stougie, B., et al. : Control flow modeling in statistical simulation for accurate and efficient processor design studies. In: Proceedings of the 31st International Symposium on Computer Architecture (ISCA), 2004.

  12. Joshi, A., Eeckhout, L., Bell Jr., R. H. and John, L. K.: Performance cloning: a technique for disseminating proprietary applications as benchmarks. In: Proceedings of the IEEE International Symposium on Workload Characterization (IISWC’06), San Jose, California, October 2006.

  13. Bell Jr., R. H., Bhatia, R. R., John, L. K., et al.: Automatic testcase synthesis and performance model validation for high performance powerPC processors. In: Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), Austin, Texas, March 2006.

  14. Ganesan, K., Jo, J. and John, L. K.: Synthesizing memory-level parallelism aware miniature clones for SPEC CPU2006 and implantbench workloads. In: Proceedings of the 2010 International Symposium on Performance Analysis of Systems and Software (ISPASS). White Plains, NY, March 2010.

  15. Li, T.: Using a multiscale approach to characterize workload dynamics. In: Proceedings of the Workshop on Modeling, Benchmarking and Simulation (MoBS), Madison, Wisconsin, June 2005.

  16. Leland, W., Taqqu, M., Willinger, W., Wilson, D.: On the self-similar nature of ethernet traffic (extended version). IEEE/ACM Trans. Netw. 2(2), 1–15 (Feb. 1994)

  17. Beran, J., Sherman, R., Taqqu, M.S., Willinger, W.: Long-range dependence in variable-bit-rate video traffic. IEEE Trans. Commun. 43, 1566–1579 (Mar. 1995)

  18. Paxson, V., Floyd, S.: Wide-area traffic: the failure of poisson modeling. IEEE/ACM Trans. Netw. 3(3), 226–244 (1995)

    Article  Google Scholar 

  19. Willinger, W., Taqqu, M.S., Sherman, R., Wilson, D.V.: Self-similarity through high-variability: statistical analysis of ethernet lan traffic at the source level. IEEE/ACM Trans. Netw. 5(1), 71–86 (1997)

    Article  Google Scholar 

  20. Crovella, M.E., Bestavros, A.: Self-similarity in World Wide Web traffic: evidence and possible causes. IEEE/ACM Trans. Netw. 5(6), 835–846 (1997)

    Article  Google Scholar 

  21. Gribble, S. Manku, G. and Brewer, E.: Self-similarity in high-level file systems: measurement and applications. In: Proceedings of the ACM SIGMETRICS’98, Madison, WI. June 1998.

  22. Gomez, M. and Santonja, V.: Self-similarity in I/O workload: analysis and modeling. In: Proceedings of the 1st IEEE International Workshop on Workload Characterization (WWC’98), Dallas, Texas, November 1998.

  23. Gomez, M. and Santonja, V.: Analysis of self-similarity in I/O workload using structural modeling. In: Proceedings of the 8th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems (MASCOTS), College Park, Maryland, October 1999.

  24. Riska, A. and Riedel, E.: Long-range dependence at the disk drive level. In: Proceedings of the Third International Conference on the Quantitative Evaluation of Systems (QEST), University of California, Riverside, CA, September 2006.

  25. Riska, A. and Riedel, E.: Disk drive level workload characterization. In: Proceedings of the 2006 USENIX Annual Technical Conference, Boston, MA, June 2006.

  26. Kavalanekar, S., Worthington, S., Zhang, Q., and Sharda, V.: Characterization of storage workload traces from production windows servers. In: Proceedings of the IEEE International Symposium on Workload Characterization (IISWC). Seattle, WA, September 2008.

  27. Chen, Y., Li, W., Lin, J., et al.: Memory characterization of emerging recognition-mining-synthesis workloads for multi-core processors. In: Proceedings of the Workshop for Computer Architecture Evaluation of Commerical Workloads (CAECW’08).

  28. Lin, J., Chen, Y., Li, W., et al.: Memory characterization of SPEC CPU2006 benchmark suite. In: Proceedings of the Workshop for Computer Architecture Evaluation of Commerical Workloads (CAECW’08).

  29. Charney, M., Puzak, T.: Prefetching and memory system behavior of the SPEC95 benchmark suite. IBM J. Res. Dev. 41(3), 265–286 (May 1997)

  30. Gee, J., Hill, M., Smith, A. J.: Cache performance of the SPEC benchmark suite. UC Berkeley, Technical Report: CSD-91-648, 1991.

  31. Korn, W., Chang, M. S.: SPEC CPU2006 sensitivity to memory page sizes. In: ACM SIGARCH newsletter, Computer Architecture News, Vol 35, No. 1, March 2007.

  32. Kim, Y., Papamichael, M., Mutlu, O., et al.: Thread cluster memory scheduling: exploiting differences in memory access behavior. In: Proceedings of the MICRO-43, Atlanta, GA, Dec. 2010.

  33. Kim, Y., Han, D., Mutlu, O., et al.: ATLAS: a scalable and high-performance scheduling algorithm for multiple memory controllers. In: Proceedings of the HPCA-16, Bangalore, India, Jan. 2010.

  34. Sahuquillo, J., Nachiondo, T. and Cano, J.: Self-similarity in splash-2 workloads on shared memory multiprocessors systems. In: Proceedings of the 26th EUROMICRO, Maastricht, The Netherlands.

  35. Lee, Y. and Kim, J.: Characterization of large-scale smtp traffic: the coexistence of the poisson process and selfsimilarity. In: Proceedings of the 16th Annual Meeting of the IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), Baltimore, Maryland, September 2008.

  36. Henning, J.: SPEC CPU2006 benchmark descriptions. ACM SIGARCH Comput. Archit. News 34(4), 1–17 (September 2006)

  37. Wang, D., Ganesh, B., Tuaycharoen, N., et al.: Dramsim: a memory system simulator. SIGARCH Comput. Archit. News 33(4), 100–107 (2005)

    Article  Google Scholar 

  38. Micron. Inc., Micron 512mb: Ddr2 sdram data sheet. http://www.micron.com

  39. Zhang, J., Sivasubramaniam, A., Franke, H., et al.: Synthesizing representative I/O workloads for TPC-H. In: Proceedings of the 10th International Symposium on High Performance Computer Architecture (HPCA-10), Madrid, Spain, February 2004.

  40. Hong, B. and Madhyastha, T.: The relevance of long-range dependence in disk traffic and implications for trace synthesis. In: Proceedings of the IEEE Conference on Mass Storage Systems and Technologies (MSST), Monterey, CA, April 2005.

  41. Wang, M. and Madhyastha, T., et al.: Data mining meets performance evaluation: fast algorithms for modeling bursty traffic. In: Proceedings of the 18th International Conference on Data Engineering (ICDE), San Jose, CA, February 2002.

  42. Karagiannis, T., Faloutsos, M. and Riedi, R.: Long-range dependence: now you see it, now you don’t! In Proceedings of the GLOBECOM. Taipei, Taiwan, November 2002.

  43. Norros, : On the use of Fractional Brownian Motion in the theory of connectionless networks. IEEE J. Sel. Areas Commun. (JSAC) 15, 200–208 (1997)

    Article  Google Scholar 

  44. Kurmas, Z., Keeton, K. and Mackenzie, K.: Synthesizing representative I/O workloads using iterative distillation. In: Proceedings of the 11th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems (MASCOTS), Orlando, Florida, 2003.

  45. Garrett, M. W. and Willinger, W.: Analysis, modeling and generation of self-similar VBR video traffic. In: Proceedings of the ACM SIGCOMM’94 Conference on Communications Architectures, Protocols and Applications, London, UK, September 1994.

  46. Stathis, C., Maglaris, B.: Modelling the self-similar behaviour of network traffic. Comput. Netw. 34, 37–47 (2000)

    Article  Google Scholar 

  47. Zou, Q., Feng, D., Zhu, Y., et al.: A novel and generic model for synthesizing disk I/O traffic based on the alpha-stable process. In: Proceedings of the 16th Annual Meeting of the IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), Baltimore, Maryland, September 2008.

  48. Samorodnitsky, G., Taqqu, M.: Stable Non-Gaussian Random Processes: Stochastic Models with Infinite Variance. Chapman and Hall, New York (1994)

    MATH  Google Scholar 

  49. Liu, Z.J.: Computational Science Technique and Matlab. Science Press. Beijing, China (2001)

    Google Scholar 

Download references

Acknowledgments

The author would like to thank the anonymous reviewers for their helpful comments in reviewing this paper. Thanks go also to Jianhui Yue, Bruce Segee, and Yifeng Zhu for their help in writing this paper. This work is partially supported by the Ph.D. Foundation of Southwest University under Grants No. SWU111015, the Fundamental Research Funds for the Central Universities under Grant No. XDJK2012A006, the National Key Technology R&D Program under Grant No. 2012BAD35B08, the National Natural Science Foundation of China under Grant No. 61303038, the Chongqing Natural Science Foundation under Grant No. CSTC2013jcyjA40050, No. CSTC2013jcyjA40016, No. CSTC2012ggC40004.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Qiang Zou.

Additional information

A preliminary version of the article was published in Proceedings of the 31st IEEE International Performance Computing and Communications Conference (IPCCC 2012).

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Zou, Q. Reexamining anomaly temporal behaviors in SPEC CPU workloads: self-similar or not?. Cluster Comput 17, 1427–1441 (2014). https://doi.org/10.1007/s10586-014-0380-1

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10586-014-0380-1

Keywords

Navigation