Skip to main content
Log in

CMOS substrate coupling modeling and analysis flow for submicron SoC design

  • Mixed Signal Letter
  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

CMOS technology substrate crosstalk modeling and a respective analysis flow that captures the affected circuit performance is described. The proposed methodology can be seamlessly integrated into any industrial Analog/RF circuit design flow, and be compatible within standard design environments. It provides accurate estimation of the substrate coupling effects and can estimate adequately all the mask design level isolation performance trends by adapting an advanced substrate modeling concept based on geometrical and process data. Different substrate model accuracy constraints can be invoked depending on the design phase and the simulation time needs. The provided accuracy is validated by correlating simulation results versus on wafer silicon measurements in a 28 nm CMOS set of ring oscillators with carrier frequency of 670 MHz. The mean error of the proposed method is 665 μV while the error sigma is 765 μV.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7

References

  1. Muhtaroglou, A., et al. (2004). On-die droop detector for analog sensing of power supply noise. IEEE Journal of Solid State Circuits, 39(4), 651–660.

    Article  Google Scholar 

  2. Felder, M., & Ganger, J. (1999). Analysis of ground-bounce induced substrate noise coupling in a low resistive bulk epitaxial process: Design strategies to minimize noise effects on a mixed signal chip. IEEE Transaction on Circuits and Systems II, 46(11), 1427–1436.

    Article  Google Scholar 

  3. Charbon, E., et al. (1999). Modeling digital substrate noise injection in mixed signal IC’s. IEEE Transactions on Computer Aided Design, 18(3), 301–310.

    Article  Google Scholar 

  4. Gharpurey, R., & Meyer, G. (1996). Modeling and analysis of substrate coupling in integrated circuits. IEEE Journal of Solid state circuits, 31(3), 125–128.

    Article  Google Scholar 

  5. Stanisic, B. R., et al. (1994). Addressing substrate coupling in mixed-mode IC’s: Simulation and power distribution synthesis. IEEE Journal of Solid state circuits, 29(3), 226–238.

    Article  Google Scholar 

  6. Senthinathan, R., et al. (1991). Simultaneous switching ground noise calculation for packaged CMOS devices. IEEE Journal of Solid state circuits, 26(11), 1724–1728.

    Article  Google Scholar 

  7. Joardar, K. (1994). A simple approach to modeling cross-talk in integrated circuits. IEEE Journal of Solid state circuits, 29(10), 1212–1219.

    Article  Google Scholar 

  8. Pun, A. L. L. (1998). Substrate noise coupling through planar spiral inductor. IEEE Journal of Solid state circuits, 33(6), 877–884.

    Article  Google Scholar 

  9. Casalta, J. M., et al. (1997). Substrate coupling evaluation in BiCMOS technology. IEEE Journal of Solid state circuits, 32(4), 598–603.

    Article  Google Scholar 

  10. Helmy, A., & Ismail, M. (2008). Substrate Noise Coupling in RFICs. New York: Springer.

    Google Scholar 

  11. Badaroglu, M., et al. (2003). Modeling and expiremental verification of substrate noise generation in a 220 k-gates WLAN system-on-chip with multiple supplies. IEEE Journal of solid state circuits, 38(9), 1250–1260.

    Article  Google Scholar 

  12. Nagata, M. (2007). On-chip measurements complementary to design flow for integrity in SoCs. Proceedings of the 44th annual Design Automation Conference (pp. 400–403).

  13. Azumam, N., et. al., (2013). Measurements and simulation of substrate noise coupling in RF ICs with CMOS digital noise emulator. 9th Intl. Workshop on Electromagnetic Compatibility of Integrated Circuits (EMC Compo), Nara (pp. 42–46).

  14. Bronckers, S., Van der Plas, G., Vandersteen, G., & Rolain, Y. (2010). Substrate noise coupling mechanisms in lightly doped CMOS transistors. IEEE Transactions on Instrumentation and Measurement, 59(6), 1727–1733.

    Article  Google Scholar 

  15. Shen, M., Mikkelsen, J., Jensen, O. K., and Larsen, T., (2012). A compact P+contact resistance model for characterization of substrate coupling in modern lightly doped CMOS processes. 7th European Microwave Integrated Circuits Conference (EumIC), Amsterdam (pp. 29–30, 492–495).

  16. Ozis, D., Fiez, T., Mayaram. K., (2002). A comprehensive geometry-dependent macromodel for substrate noise coupling in heavily doped CMOS. IEEE Custom Integrated Circuits Conference, Orlando.

  17. Clement, F., (2012). Method and apparatus for assisting integrated circuit designing with a substrate coupling. Patent No. 8306803, Assignee: Coupling Wave Solutions CWS.

  18. Bando, Y., et al. (2009). Power supply and substrate noise analysis; reference tool experience with silicon validation. Design Automation Conference, San Francisco (pp. 26–31).

  19. Noulis, T., & Baumgartner, P. (2015). Substrate cross-talk analysis flow for submicron CMOS system-on-chip. Electronics Letters, 51(12), 953–954.

    Article  Google Scholar 

  20. Valorge, O., et al. (2010). Mixed-signal IC design guide to enhance substrate noise immunity in bulk silicon technology. Analog Integrated Circuits and Signal Processing, 63(2), 185–196.

    Article  Google Scholar 

  21. Hoffmann, R. K. (1987). Handbook of microwave integrated circuits. Artech House Microwave Library.

  22. Van Genderen, A. J., Van der Meijs N. P., and Smedes, T., (1996). Fast computation of substrate resistances in large circuits. Proceedings of EDTC’96, European Conference on Design and Test (pp. 560–566).

Download references

Acknowledgements

The contribution of Hui Zhang, Antonius Koller and Reinhard Golly of INTEL—Mobile Communications Group—RFAD Characterization Laboratory, during the silicon measurements, is greatly appreciated.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to T. Noulis.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Noulis, T., Baumgartner, P. CMOS substrate coupling modeling and analysis flow for submicron SoC design. Analog Integr Circ Sig Process 90, 477–485 (2017). https://doi.org/10.1007/s10470-016-0883-1

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-016-0883-1

Keywords

Navigation