Skip to main content
Log in

Ultra-Fast Current Mode Sense Amplifier for Small \(I_{\mathrm{CELL}}\) SRAM in FinFET with Improved Offset Tolerance

  • Published:
Circuits, Systems, and Signal Processing Aims and scope Submit manuscript

Abstract

In this paper, a novel, high-performance and robust sense amplifier (SA) design is presented for small \(I_\mathrm{CELLl}\) SRAM, using fin-shaped field effect transistors (FinFET) in 22-nm technology. The technique offers data-line-isolated current sensing approach. Compared with the conventional CSA (CCSA) and hybrid SA (HSA), the proposed current feed-SA (CF-SA) demonstrates 2.15\(\times \) and 3.02\(\times \) higher differential current, respectively, for \({V}_{\mathrm{DD}}\) of 0.6 V. Our results indicate that even at the worst corner, CF-SA can provide 2.23\(\times \) and 1.7\(\times \) higher data-line differential voltage compared with CCSA and HSA, respectively. Further, 66.89 and 31.47 % reductions in the cell access time are achieved compared to the CCSA and HSA, respectively, under similar \(I_\mathrm{CELLl}\) and bit-line and data-line capacitance. Statistical simulations have proved that the CF-SA provides high read yield with 32.39 and 22.24 % less \(\upsigma _{\mathrm{Delay}}\). It also offers a much better read effectiveness and robustness against the data-line capacitance as well as \({V}_{\mathrm{DD}}\) variation. Furthermore, the CF-SA is able to tolerate a large offset of the input devices, up to 80 mV at \({V}_{\mathrm{DD}}=0.6\hbox {V}\).

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20

Similar content being viewed by others

References

  1. M. Alioto, Analysis of layout density in FinFET standard cells and impact of fin technology. in Proceeding of IEEE International Symposium on Circuits and Systems (ISCAS), (2010) pp. 3201–3207

  2. F. Bedeschi, R. Fackenthal, C. Resta, E.M. Donze, M. Jagasivamani, E.C. Buda, F. pellizzer, D.W. Chow, A. Cabrini, G.M.A. Calvi, R. Faravelli, A. Fantini, G. Torelli, D. Mills, R. Gastaldi, G. Casagrande, A bipolar-selected phase change memory featuring multi-level cell storage. IEEE J. Solid-State Circuits 44(1), 217–227 (2009)

    Article  Google Scholar 

  3. M. Bhargava, M. McCartney, A. Hoefler, K. Mai, Low-overhead digital offset compensated, SRAM sense amplifiers. in Proceeding of IEEE, Custom Integrated Circuit Conference (CICC), (2009) pp. 705–708

  4. M.F. Chang, Y.C. Chen, C.F. Chen, A. Resilient, Power-efficient automatic-power-down sense amplifier for SRAM design. IEEE Trans. Circuits Syst. II Exp. Briefs 55(10), 1031–1035 (2008)

    Article  Google Scholar 

  5. M.-F. Chang, S.J. Shen, A process variation tolerant embedded split-gate flash memory using pre-stable current sensing scheme. IEEE J. Solid-State Circuits 44(3), 987–994 (2009)

    Article  Google Scholar 

  6. M.F. Chang, C.W. Wu, C.C. Kuo, S.J. Shen, K.F. Lin, S.M. Yang, Y.C. King, C.J. Lin, Y.D. Chih, A 0.5 V 4 Mb logic-process compatible embedded resistive RAM (ReRAM) in 65 nm CMOS using low voltage current mode sensing scheme with 45 ns random read time. in Proceeding of IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, (2012) pp. 434–435

  7. M.F. Chang, S.M. Yang, C.-W. Liang, C.-C. Chiang, P.-F. Chiu, K.-F. Lin, Noise-immune embedded NAND-ROM using a dynamic split source-line scheme for VDD min and speed improvements. IEEE J. Solid-State Circuits 45(10), 2142–2155 (2010)

    Article  Google Scholar 

  8. S.H. Chang, S.K. Lee, S.J. Park, M.J. Jung, J.C. Han, I.S. Wang, K.H. Lim, J.H. Lee, J.H. Kim, W.K. Kang, T.K. Kang, H.S. Byun, Y.J. Noh, L.H. Kwon, B.K. Koo, M.Cho, J.S. Yang, Y.H. Koh, A 48 nm 32Gb 8-level NAND flash memory with 5.5 MBs program throughput. in Proceeding of IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, (2009) pp. 240–241

  9. Y.H. Chen, S.Y. Chou, Q. Li, W.M. Chan, D. Sun, H.J. Liao, P. Wang, M.F. Chang, H. Yamauchi, Compact measurement schemes for bit-line swing. Sense amplifier offset voltage, and word-line pulse width to characterize sensing tolerance margin in a 40 nm fully functional embedded SRAM. IEEE J. Solid-State Circuits 47(4), 2338–2348 (2012)

    Google Scholar 

  10. C.J. Chevallier, C.H. Siau, S.F. Lim, S.R. Namala, M. Matsuoka, B.L. Bateman, D. Rinerson, A 0.13 \(\mu \)m 64 Mb multi-layered conductive metal-oxide memory. in Proceeding of IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, (2010) pp. 260–261

  11. A. Conte, G.L. Giudice, G. Palumbo, A. Signorello, A high-performance very low-voltage current sense amplifier for nonvolatile memories. IEEE J. Solid-State Circuits 40(2), 507–514 (2005)

    Article  Google Scholar 

  12. S. Cosemans, W. Dehaene, F. Catthoor, A 3.6 pJ/access 480 MHz, 128 kb on-chip SRAM With 850 MHz boost mode in 90 nm CMOS with tunable sense amplifiers. IEEE J. Solid-State Circuits 44(7), 2065–2077 (2009)

    Article  Google Scholar 

  13. M.L. Fan, V.P.H. Hu, Y.N. Chen, P. Su, C.T. Chuang, Variability analysis of sense amplifier for FinFET subthreshold SRAM applications. IEEE Trans. Circuits Syst. II Exp. Briefs 59(12), 1031–1035 (2012)

    Google Scholar 

  14. I. Hayashi, T. Amano, N. Watanabe, Y. Yano, Y. Kurado, M. Shirata, K. Dosaka, K. Nii, H. Noda, H. Kawai, A 250-MHz 18-Mb full ternary CAM with low-voltage match line sensing scheme in 65-nm CMOS. IEEE J. Solid-State Circuits 48(11), 2671–2680 (2014)

    Article  Google Scholar 

  15. C.Y. Hsieh, M.L. Fan, V. Pi-Ho Hu, P. Su, C.-T. Chuang, Independently-controlled-gate FinFET Schmitt trigger sub-threshold SRAMs. IEEE Trans. Very Large Scale Integr. Syst. 20(7), 1201–1210 (2012)

    Article  Google Scholar 

  16. K. Ishibashi, K. Takasugi, K. Komiyaji, H. Toyoshima, T. Yamanaka, A. Fukami, N. Hashimoto, N. Ohki, A. Shimizu, T. Hashimoto, T. Nagano, T. Nishida, A 6-ns 4-Mb CMOS SRAM with offset voltage-insensitive current sense amplifiers. IEEE J. Solid-State Circuits 30(4), 480–486 (1995)

    Article  Google Scholar 

  17. R.W. Mann, T.B. Hook, P.T. Nguyen, B.H. Calhoun, Nonrandom device mismatch consideration in nanoscale SRAM. IEEE Trans. Very Large Scale Integr. Syst. 20(7), 1201–1210 (2012)

    Article  Google Scholar 

  18. G.G. Marotta, A. Macerola, A.D. Alessandro, A. Torsi, C. Cerafogli, C. Lattaro, C. Musilli, D. Rivers, E. Sirizotti, F. Paolini, G. Imondi, G. Naso, G. Santin, L. Botticchio, L.D. Santis, L. Pilolli, M.L. Gallese, M. Incarnati, M. Tiburzi, P. Conenna, S. Perugini, V. Moschiano, W.D. Francesco, M. Goldman, C. Haid, D.D. Cicco, D. Orlandi, F. Rori, M. Rossini, T. Vali, R. Ghodsi, F. Roohparvar, A3 bit/cell 32Gb NAND flash memory at 34 nm with 6MB/s program throughput and with dynamic 2 b/cell blocks configuration mode for a program throughput increase up to 13 MB/s. in Proceeding of IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, (2010) pp. 444–445

  19. S. Mukhopadhyay, H. Mahmoodi, K. Roy, A novel high-performance and robust sense amplifier using independent gate control in sub-50-nm double-gate MOSFET. IEEE Trans. Very Large Scale Integr. Syst. 14(2), 183–192 (2006)

    Article  Google Scholar 

  20. M. Pelgrom, A.C.J. Duinmaijer, A.P.G. Welbers, Matching properties of MOS transistors. IEEE J. Solid-State Circuits 24(5), 1433–1439 (1989)

    Article  Google Scholar 

  21. PTM-MG multi-gate model for multi-gate FinFET transistors (2013). http://ptm.asu.edu/

  22. S.S. Rathod, A.K. Saxena, S. Dasgupta, A low-noise, process-variation-tolerant double-gate FinFET based sense amplifier. Microelectron. Reliab. 51, 773–780 (2011)

    Article  Google Scholar 

  23. J. Ryckaert, P. Raghavan, R. Baert, M.G. Bardon, M. Dusa, A. Mallik, S. Sakhare, B. Vandewalle, P. Wambacq, B. Chava, K. Croes, M. Dehan, D. Jang, P. Leray, T.-T. Liu, K. Miyaguchi, B. Parvais, P. Schuddinck, P. Weemaes, A. Mercha, J. Bömmels, N. Horiguchi, G. McIntyre, A. Thean, Z. Tökei, S. Cheng, D. Verkest, A. Steegen, Design technology co-optimization for N10. in Proceeding of IEEE, Custom Integrated Circuit Conference (CICC), (2014) pp. 1–8

  24. E. Seevinck, P.J.V. Beers, H. Ontrop, Current-mode techniques for high-speed VLSI circuits with application to current SA for CMOS SRAM’s. IEEE J. Solid-State Circuits 26(5), 525–536 (1991)

    Article  Google Scholar 

  25. K. Seno, K. Knorpp, L.-L. Shu, N. Teshima, H. Kihara, H. Sato, F. Miyaji, M. Takeda, M. Sasaki, P.T. Chuang, K. Kobayashi, A 9-ns 16-Mb CMOS SRAM with offset-compensated current sense amplifier. IEEE J. Solid-State Circuits 28(11), 1119–1124 (1993)

    Article  Google Scholar 

  26. M. Seok, S. Hanson, J.-S. Seo, D. Sylvester, D. Blauuw, Robust ultra-low voltage ROM design. in Proceeding of the IEEE Custom Integrated Circuits Conference (CICC), (2008) pp. 423–426

  27. H. Shang, L. Chang, X. Wang, M. Rooks, Y. Zhang, B. To, K. Babich, G. Totir, Y. Sun, E. Kiewra, M. Ieong, W. Haensch, Investigation of FinFET devices for 32 nm technologies and beyond. in Proceeding of Symposium on VLSI technology (VLSIT), (2006) pp. 54–55

  28. M. Sharifkhani, E. Rahiminejad, S.M. Jahinuzzaman, M. Sachdev, A compact hybrid current/voltage sense amplifier with offset cancellation for high-speed SRAMs. IEEE Trans. Very Large Scale Integr. Syst. 19(5), 883–894 (2011)

    Article  Google Scholar 

  29. M.E. Sinangil, A.P. Chandrakasan, Application-specific SRAM design using output prediction to reduce bit-line switching activity and statistically gated sense amplifiers for up to 1.9x lower energy/access. IEEE J. Solid-State Circuits 49(1), 107–117 (2014)

    Article  Google Scholar 

  30. SOI Group of University of Florida, UFDG MOSFET Model User Guide (Linux Version) (2003). http://www.soi.tec.ufl.edu

  31. M.F. Tsai, J.H. Tsai, M.L. Fan, P. Su, C.-T. Chuang, variation tolerant CLSAs for nanoscale bulk-CMOS and FinFET SRAM. in Proceeding of IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), (2012) pp. 471–474

  32. D.A. Tuan, K.Z. Hui, Y.K. Seng, Hybrid-mode SRAM sense amplifiers: new approach on transistor sizing. IEEE Trans. Circuits Syst. II Exp. Briefs 55(10), 986–990 (2008)

    Article  Google Scholar 

  33. B. Wicht, S. Paul, D.S. Landsiedel, Analysis and compensation of the bitline multiplexer in SRAM current sense amplifiers. IEEE J. Solid-State Circuits 36(11), 1745–1755 (2001)

    Article  Google Scholar 

  34. B. Zhai, D. Blaauw, D. Sylvester, S. Hanson, A variation-tolerant sub-200 mV 6T subthreshold SRAM. IEEE J. Solid-State Circuits 43(10), 2338–2348 (2008)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Santosh Kumar Vishvakarma.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Reniwal, B.S., Vijayvargiya, V., Vishvakarma, S.K. et al. Ultra-Fast Current Mode Sense Amplifier for Small \(I_{\mathrm{CELL}}\) SRAM in FinFET with Improved Offset Tolerance. Circuits Syst Signal Process 35, 3066–3085 (2016). https://doi.org/10.1007/s00034-015-0199-x

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00034-015-0199-x

Keywords

Navigation