Skip to main content
Log in

Handling Unknown with Blend of Scan and Scan Compression

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

In scan compression, all scannable Flip-Flops are part of internal scan channels connected between Decompressor and Compressor. The capture-X (unknown values in the test response) in the Flip-Flops after capture cycle of scan synthesis, results in loss of coverage and/or pattern inflation when masking is used to block the Xs irrespective of the X-masking techniques used in scan compression. In this paper, we exploited this potential and propose a hybrid DFT (Design For Testability) architecture to achieve better compression and reduce patterns count. This is a mixture of an external scan chain and scan compression. A methodology has been put in place based on the potential of a capture-X value of occurring in Flip-Flips, to find out which Flip-Flops (scan cells) should be part of the internal scan channels (chains) between Decompressor and Compressor, and which Flip-Flops should be put outside the codec (Compressor-Decompressor) as an external scan chain. The results show the benefits of the hybrid architecture which is shown to bring significant improvement in pattern count.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6

Similar content being viewed by others

References

  1. Barnhart C, Brunkhorst V, Distler F, Farnsworth O, Keller B, Koenemann B (2001) OPMISR: The foundation for compressed ATPG vectors. Proc. International Test Conference, pp 748–757

  2. Barnhart C et al (2002) Extending OPMISR beyond 10x scan test efficiency. IEEE Des Test Comput 19(5):65–73

    Article  Google Scholar 

  3. Chandra A, Kapur R (2008) Not All Xs are Bad for Scan Compression. In: Proc. Asian Test Symposium, pp 7–12

  4. Chandra A, Kapur R (2008) Interval based X-masking for scan compression architectures. In: Proc. 9th International Symposium on Quality Electronic Design (ISQED), pp 821–826

  5. Chandra A, Kanzawa Y, Kapur R (2009) Proactive management of X’s in scan chains for compression. In: Proc. 10th International Symposium on Quality Electronic Design (ISQED), pp 260–265

  6. Chandra A, Kapur R, Kanzawa Y (2009) Scalable adaptive scan (SAS). In: Proc. Design Automation and Test in Europe Conference, pp 1476–1481

  7. Chao MT, Wang S, Chakradhar ST, Cheng KT (2005) Response shaper: A novel technique to enhance unknown tolerance for output response compaction. In: Proc. IEEE/ACM International Conference on Computer-Aided Design, pp 80–87

  8. Chickermane V, Foutz B, Keller B (2004) Channel masking synthesis for efficient on-chip test compression. In: Proc. International Test Conference, pp 452–461

  9. Colbourn CJ, Rosa A (1999) Triple systems. Oxford University Press, Oxford

    MATH  Google Scholar 

  10. DFT Compiler, Synopsys DFT Synthesis solution, http://www.synopsys.com/products/test/dft_compiler_ds.pdf

  11. Gizdarski E (2008) Constructing augmented multimode compactors. In: Proc. VLSI Test Symposium, pp 29–34

  12. Han Y, Xu Y, Li H, Li X (2003) Test resource partitioning based on efficient response compaction for test time and tester channels reduction. In: Proc. 12th Asian Test Symposium, pp 440–445

  13. Hilscher M, Braun M, Richter M, Leininger A, Gössel M (2009) X-tolerant test data compaction with accelerated shift registers. J Electron Test 25(4–5):247–258

    Article  Google Scholar 

  14. Kang JH, Touba NA, Yang JS (2016) Reducing control bit overhead for X-masking/X-canceling hybrid architecture via pattern partitioning. In: Proc. Design Automation Conference, pp 1–6

  15. Kapur R, Mitra S, Williams TW (2008) Historical perspective on scan compression. IEEE Des Test Comput 25(2):114–120

    Article  Google Scholar 

  16. Lumetta SS, Mitra S (2003) X-codes: Theory and applications of unknowable inputs. Coordinated Science Laboratory Report no. UILU-ENG-03-2217

  17. McCluskey EJ, Burek D, Koenemann B, Mitra S, Patel J, Rajski J, Waicukauski J (2003) Test data compression. IEEE Des Test Comput 20(2):76–87

    Article  Google Scholar 

  18. Mitra S, Kim KS (2002) X-compact: An efficient response compaction technique for test cost reduction. In Proc. International Test Conference, pp 311–320

  19. Mitra S, Kim KS (2004) X-Compact: An Efficient Response Compaction Scheme. IEEE Trans Comput Aided Des Integr Circuits Syst 23(3):421–432

    Article  Google Scholar 

  20. Mitra S, Mitzenmacher M, Lumetta SS, Patil N (2005) X-tolerant test response compaction. IEEE Des Test Comput 22(6):566–574

    Article  Google Scholar 

  21. Mrugalski G, Mukherjee N, Rajski J, Czysz D, Tyszer J (2009) Highly X-tolerant selective compaction of test responses. In: Proc. VLSI Test Symposium, pp 245–250

  22. Naruse M, Pomeranz I, Reddy SM, Kundu S (2003) On-Chip Compression of Output Responses with Unknown Values Using LFSR Reseeding. In: Proc. International Test Conference, pp 1060–1068

  23. Pomeranz I, Kundu S, Reddy SM (2002) On output response compression in the presence of unknown output values. In: Proc. 39th annual Design Automation Conference, pp 255–258

  24. Rabenalt T, Goessel M, Leininger A (2011) Masking of X-values by use of a hierarchically configurable register. J Electron Test 27(1):31–41

    Article  Google Scholar 

  25. Rajiski J, Tyszer J, Wang C, Reddy SM (2005) Finite memory test response compactors for embedded test applications. IEEE Trans Comput Aided Des Integr Circuits Syst 24(4):622–634

    Article  Google Scholar 

  26. Rajski W, Rajski J (2006) Modular compactor of test responses. In: Proc. VLSI Test Symp., pp 242–251

  27. Rajski J, Tyszer J (2005) Synthesis of X-tolerant convolutional compactors. In: Proc. VLSI Test symposium, pp 114–119

  28. Rajski J, Tyszer J, Wang C, Reddy SM (2003) Convolutional compaction of test responses. In: Proc. International Test Conference, pp 745–754

  29. Rajski J, Tyszer J, Wang C, Reddy SM (2005) Finite memory test response compactors for embedded test applications. IEEE Trans Comput Aided Des Integr Circuits Syst 24(4):622–634

    Article  Google Scholar 

  30. Rajski J, Tyszer J, Mrugalski G, Mukherjee N, Kassab M (2006) X-press compactor for 1000× reduction of test data. In: Proc. International Test Conference, pp 1–10

  31. Ramdas A, Sinanoglu O (2012) Toggle-masking scheme for x-filtering. In: Proc. 17th IEEE European Test Symposium, pp 1–6

  32. Sharma M, Cheng WT (2005) X-filter: Filtering unknowns from compacted test responses. In Proc. International Test Conference

  33. Shi Y, Togawa N, Yanagisawa M, Ohtsuki T (2008) GECOM: Test data compression combined with all unknown response masking. In: Proc. 2008 Asia and South Pacific Design Automation Conference, pp 577–582

  34. Tang Y, Wunderlich HJ, Vranken H, Hapke F, Wittke M, Engelke P, Polian I, Becker B (2004) X-masking during logic BIST and its impact on defect coverage. In Proc. International Test Conference, pp 442–451

  35. TetraMAX, Synopsys ATPG solution, http://www.synopsys.com/products/test/tetramax_ds.pdf

  36. Touba NA (2007) X-canceling MISR—An X-tolerant methodology for compacting output responses with unknowns using a MISR. In: Proc. International Test Conference, pp 1–10

  37. Volkerink EH, Mitra S (2005) Response compaction with any number of unknowns using a new LFSR architecture. In: Proc. 42nd annual Design Automation Conference, pp 117–122

  38. Wang C, Reddy SM, Pomeranz I, Rajski J, Tyszer J (2003) On compacting test response data containing unknown values. In: Proc. 2003 IEEE/ACM International Conference on Computer-aided design, p 855

  39. Wang LT, Wen X, Furukawa H, Hsu FS, Lin SH, Tsai SW, Abdel-Hafez KS, Wu S (2004) Virtual Scan: A new compressed scan technology for test cost reduction. In: Proc. International Test Conference, pp 916–925

  40. Wang LT, Wu CW, Wen X (2006) VLSI test principles and architectures: design for testability. Academic Press, Cambridge

    Google Scholar 

  41. Wang LT, Wu CW, Wen X (2006) Design for testability: VLSI test principles and architectures. Morgan Kaufmann (San Francisco)

  42. Wang S, Wei W, Chakradhar ST (2007) Unknown blocking scheme for low control data volume and high observability. In: Proc. Design automation and test in Europe, pp 33–38

  43. Wang S, Balakrishnan KJ, Wei W (2008) X-block: an efficient LFSR reseeding-based method to block unknowns for temporal compactors. IEEE Trans Comput 57(7):978–989

    Article  MathSciNet  Google Scholar 

  44. Wohl P, Waicukauski JA, Williams TW (2001) Design of compactors for signature-analyzers in built-in self-test. Proc. International Test Conference, pp 54–63

  45. Wohl P, Waicukauski JA, Patel S, Amin MB (2003) X-tolerant compression and application of scan-ATPG patterns in a BIST architecture. In: Proc. International Test Conference, p 727

  46. Wohl P, Waicukauski JA, Patel S (2004) Scalable selector architecture for X-tolerant deterministic BIST. In: Proc. 41st Design Automation Conference, pp 934–939

  47. Wohl P, Waicukauski JA, Neuveux F (2008) Increasing scan compression by using X-chains. In: Proc. International Test Conference, pp 1–10

  48. Wohl P, Waicukauski JA, Neuveux F, Gizdarski E (2010) Fully X-tolerant, very high scan compression. In: Proc. Design Automation Conference, pp 362–367

  49. Yang JS, Touba NA (2012) X-canceling MISR architectures for output response compaction with unknown values. IEEE Trans Comput Aided Des Integr Circuits Syst 31(9):1417–1427

    Article  Google Scholar 

  50. Yang JS, Chung J, Touba NA (2016) Enhancing superset X-canceling method with relaxed constraints on fault observation. IEEE Trans Comput Aided Des Integr Circuits Syst 35(2):298–308

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Pralhadrao V. Shantagiri.

Additional information

Responsible Editor: N. A. Touba

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Shantagiri, P.V., Kapur, R. Handling Unknown with Blend of Scan and Scan Compression. J Electron Test 34, 135–146 (2018). https://doi.org/10.1007/s10836-018-5717-x

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-018-5717-x

Keywords

Navigation