Skip to main content

Advertisement

Log in

A robust, ultra low-power, data-dependent-power-supplied 11T SRAM cell with expanded read/write stabilities for internet-of-things applications

  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

With the increased requirement of on-chip data computations in internet of things based applications, the embedded on-chip SRAM memory has been under its renovation stage to overcome the classical problems like stability and poor energy efficiency. In this work, a data-dependent-power-supply mechanism for a new 11T SRAM cell is proposed with ultra-low leakage and improved read/write stability against the process–voltage–temperature variations. The proposed cell consumes static power in the fraction of picowatt range and has considerable enhancement in the value of write static noise margin (WSNM). In addition, the use of associated read decoupling approach, with the column-based read buffer, further improves the read stability of the proposed cell and make it comparable with the hold stability value. The percentage reduction in the leakage power of proposed 11T cell is \(99.97\%\), \(99.93\%\) and \(99.97\%\), while the WSNM 1 is \(6.98\times\), \(3.12\times\) and \(1.46\times\), and WSNM 0 is \(5.55\times\), \(1.25\times\) and \(1.16\times\) larger when operating at 0.4 V and compared to the conventional 6T and threshold voltage techniques based VTH_9T and data aware write assist (DAWA) 12T SRAM cell structures respectively. \(I_{read}{/}I_{leak}\) ratio for the proposed cell has improved by \(6.55\times\), \(6.22\times\) and \(5.11\times\) when compared with the 6T, VTH_9T and DAWA12T SRAM to increase the memory density. Further, the post-layout Monte Carlo simulation results (2000 samples) confirm the robustness of the proposed cell against the process variations.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20
Fig. 21
Fig. 22
Fig. 23

Similar content being viewed by others

References

  1. Patrik, G., & Gattani, A. (2015). Memory plays a vital role in building the connected word. Electronic Design, 1, 1–6.

    Google Scholar 

  2. Hodge, V. J., O’Keefe, S., Weeks, M., & Moulds, A. (2015). Wireless sensor networks for condition monitoring in the railway industry: A survey. IEEE Transactions on Intelligent Transportation Systems, 16(3), 1088–1106.

    Article  Google Scholar 

  3. Gupta, N., Makosiej, A., Vladimirescu, A., Amara, A., & Anghel, C. (2016). Ultra-compact SRAM design using TFETs for low power low voltage applications. In IEEE international symposium on circuits and systems (ISCAS) (pp. 594–597). IEEE.

  4. Jayakumar, H., Lee, K., Lee, W. S., Raha, A., Kim, Y., & Raghunathan, V. (2014) Powering the internet of things. In Proceedings of the 2014 international symposium on low power electronics and design (pp. 375–380). ACM.

  5. Lu, C., Raghunathan, V., & Roy, K. (2011). Efficient design of micro-scale energy harvesting systems. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 1(3), 254–266.

    Article  Google Scholar 

  6. Wang, A., Calhoun, B. H., & Chandrakasan, A. P. (2006). Sub-threshold design for ultra low-power systems (Vol. 95). Berlin: Springer.

    Google Scholar 

  7. Kursun, V., & Friedman, E. G. (2006). Multi-voltage CMOS circuit design. Hoboken: Wiley.

    Book  Google Scholar 

  8. Sharma, V., & Kumar, S. (2011). Design of low-power CMOS cell structures using subthreshold conduction region. International Journal of Scientific and Engineering Research, 2(2), 29–34.

    Google Scholar 

  9. Verma, N., & Chandrakasan, A. P. (2008). A 256 kb 65 nm 8T subthreshold SRAM employing sense-amplifier redundancy. IEEE Journal of Solid-State Circuits, 43(1), 141–149.

    Article  Google Scholar 

  10. Wang, B., Zhou, J., & Kim, T. T.-H. (2015). SRAM devices and circuits optimization toward energy efficiency in multi-Vth CMOS. Microelectronics Journal, 46(3), 265–272.

    Article  Google Scholar 

  11. Moghaddam, M., Timarchi, S., Moaiyeri, M. H., & Eshghi, M. (2016). An ultra-low-power 9T SRAM cell based on threshold voltage techniques. Circuits, Systems, and Signal Processing, 35(5), 1437–1455.

    Article  Google Scholar 

  12. Andrei, P., & Oniciuc, L. (2008). Suppressing random dopant-induced fluctuations of threshold voltages in semiconductor devices. Journal of Applied Physics, 104(10), 104508.

    Article  Google Scholar 

  13. Cai, H., Wang, Y., Naviner, L. A. D. B., & Zhao, W. (2017). Robust ultra-low power non-volatile logic-in-memory circuits in FD-SOI technology. IEEE Transactions on Circuits and Systems I: Regular Papers, 64(4), 847–857.

    Article  Google Scholar 

  14. Chiu, Y.-W., Hu, Y.-H., Tu, M.-H., Zhao, J.-K., Chu, Y.-H., Jou, S.-J., et al. (2014). 40 nm bit-interleaving 12T subthreshold SRAM with data-aware write-assist. IEEE Transactions on Circuits and Systems I: Regular Papers, 61(9), 2578–2585.

    Article  Google Scholar 

  15. Lo, C.-H., & Huang, S.-Y. (2011). PPN based 10T SRAM cell for low-leakage and resilient subthreshold operation. IEEE Journal of Solid-State Circuits, 46(3), 695–704.

    Article  Google Scholar 

  16. Raychowdhury, A., Mukhopadhyay, S., & Roy, K. (2005) A feasibility study of subthreshold SRAM across technology generations. In Proceedings of the 2005 IEEE international conference on computer design: VLSI in computers and processors, 2005. ICCD 2005 (pp. 417–422). IEEE.

  17. Wen, L., Li, Z., & Li, Y. (2013). Single-ended, robust 8T SRAM cell for low-voltage operation. Microelectronics Journal, 44(8), 718–728.

    Article  Google Scholar 

  18. Wang, B., Nguyen, T. Q., Do, A. T., Zhou, J., Je, M., & Kim, T. T.-H. (2015). Design of an ultra-low voltage 9T SRAM with equalized bitline leakage and CAM-assisted energy efficiency improvement. IEEE Transactions on Circuits and Systems I: Regular Papers, 62(2), 441–448.

    Article  Google Scholar 

  19. Upadhyay, P., Kar, R., Mandal, D., & Ghoshal, S. P. (2015). A design of low swing and multi threshold voltage based low power 12T sram cell. Computers & Electrical Engineering, 45, 108–121.

    Article  Google Scholar 

  20. Ahmad, S., Gupta, M. K., Alam, N., & Hasan, M. (2017). Low leakage single bitline 9T (SB9T) static random access memory. Microelectronics Journal, 62, 1–11.

    Article  Google Scholar 

  21. Tu, M.-H., Lin, J.-Y., Tsai, M.-C., Lu, C.-Y., Lin, Y.-J., Wang, M.-H., et al. (2012). A single-ended disturb-free 9T subthreshold SRAM with cross-point data-aware write word-line structure, negative bit-line, and adaptive read operation timing tracing. IEEE Journal of Solid-State Circuits, 47(6), 1469–1482.

    Article  Google Scholar 

  22. Kushwah, C., Vishvakarma, S., & Dwivedi, D. (2016). Single-ended boost-less (SE-BL) 7T process tolerant SRAM design in sub-threshold regime for ultra-low-power applications. Circuits, Systems, and Signal Processing, 35(2), 385–407.

    Article  Google Scholar 

  23. Pal, S., & Islam, A. (2016). 9-T sram cell for reliable ultralow-power applications and solving multibit soft-error issue. IEEE Transactions on Device and Materials Reliability, 16(2), 172–182.

    Article  Google Scholar 

  24. Saeidi, R., Sharifkhani, M., & Hajsadeghi, K. (2014). A subthreshold symmetric SRAM cell with high read stability. IEEE Transactions on Circuits and Systems II: Express Briefs, 61(1), 26–30.

    Article  Google Scholar 

  25. Kushwah, C., & Vishvakarma, S. K. (2016). A single-ended with dynamic feedback control 8T subthreshold SRAM cell. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24(1), 373–377.

    Article  Google Scholar 

  26. Chang, M.-F., Chang, S.-W., Chou, P.-W., & Wu, W.-C. (2011). A 130 mV SRAM with expanded write and read margins for subthreshold applications. IEEE Journal of Solid-State Circuits, 46(2), 520–529.

    Article  Google Scholar 

  27. Ahmad, S., Gupta, M. K., Alam, N., & Hasan, M. (2016). Single-ended Schmitt-trigger-based robust low-power SRAM cell. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24(8), 2634–2642.

    Article  Google Scholar 

  28. Kulkarni, J. P., & Roy, K. (2012). Ultralow-voltage process-variation-tolerant Schmitt-trigger-based sram design. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 20(2), 319–332.

    Article  Google Scholar 

  29. Kulkarni, J. P., Kim, K., & Roy, K. (2007). A 160 mV robust Schmitt trigger based subthreshold SRAM. IEEE Journal of Solid-State Circuits, 42(10), 2303–2313.

    Article  Google Scholar 

  30. Chang, I. J., Kim, J.-J., Park, S. P., & Roy, K. (2009). A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS. IEEE Journal of Solid-State Circuits, 44(2), 650–658.

    Article  Google Scholar 

  31. Pal, S., & Islam, A. (2016). Variation tolerant differential 8T SRAM cell for ultralow power applications. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35(4), 549–558.

    Article  Google Scholar 

  32. Tu, M.-H., Lin, J.-Y., Tsai, M.-C., Jou, S.-J., & Chuang, C.-T. (2010). Single-ended subthreshold SRAM with asymmetrical write/read-assist. IEEE Transactions on Circuits and Systems I: Regular Papers, 57(12), 3039–3047.

    Article  MathSciNet  Google Scholar 

  33. Jain, S., Khare, S., Yada, S., Ambili, V., Salihundam, P., Ramani, S., et al. (2012) A 280 mV-to-1.2 V wide-operating-range IA-32 processor in 32 nm CMOS. In IEEE International Conference on Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2012 (pp. 66–68). IEEE.

  34. Kim, J., & Mazumder, P. (2017). A robust 12T SRAM cell with improved write margin for ultra-low power applications in 40 nm CMOS. Integration, The VLSI Journal, 57, 1–10.

    Article  Google Scholar 

  35. Takeda, K., Hagihara, Y., Aimoto, Y., Nomura, M., Nakazawa, Y., Ishii, T., et al. (2006). A read-static-noise-margin-free sram cell for low-VDD and high-speed applications. IEEE Journal of Solid-State Circuits, 41(1), 113–121.

    Article  Google Scholar 

  36. Alioto, M. (2010). Understanding DC behavior of subthreshold CMOS logic through closed-form analysis. IEEE Transactions on Circuits and Systems I: Regular Papers, 57(7), 1597–1607.

    Article  MathSciNet  Google Scholar 

  37. Kushwah, C., & Vishvakarma, S. K. (2012). Ultra-low power sub-threshold SRAM cell design to improve read static noise margin. In Progress in VLSI design and test (pp. 139–146). Springer.

  38. Chang, M.-F., Wu, J.-J., Chen, K.-T., Chen, Y.-C., Chen, Y.-H., Lee, R., et al. (2010). A differential data-aware power-supplied (D2AP) 8T SRAM cell with expanded write/read stabilities for lower VDDmin applications. IEEE Journal of Solid-State Circuits, 45(6), 1234–1245.

    Article  Google Scholar 

  39. Narendra, S., De, V., Borkar, S., Antoniadis, D. A., & Chandrakasan, A. P. (2004). Full-chip subthreshold leakage power prediction and reduction techniques for sub-0.18-μm CMOS. IEEE Journal of Solid-State Circuits, 39(3), 501–510.

    Article  Google Scholar 

  40. Seevinck, E., List, F. J., & Lohstroh, J. (1987). Static-noise margin analysis of MOS SRAM cells. IEEE Journal of Solid-State Circuits, 22(5), 748–754.

    Article  Google Scholar 

  41. Pasandi, G., & Fakhraie, S. M. (2015). A 256-kb 9T near-threshold sram with 1k cells per bitline and enhanced write and read operations. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 23(11), 2438–2446.

    Article  Google Scholar 

Download references

Acknowledgements

The authors would like to thank Special Manpower Development Program for Chips to System Design (SMDP-C2SD) research project of Department of Electronics and Information Technology (DEITY) under Ministry of Communication and Information Technology, Government of India to provide the lab facilities. Authors are also thankful to the Center for International Mobility (CIMO Grant No.: Intia-1- 2016-03), and Aalto University, Finland for their financial support and the lab facility.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Santosh Kumar Vishvakarma.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Sharma, V., Gopal, M., Singh, P. et al. A robust, ultra low-power, data-dependent-power-supplied 11T SRAM cell with expanded read/write stabilities for internet-of-things applications. Analog Integr Circ Sig Process 98, 331–346 (2019). https://doi.org/10.1007/s10470-018-1286-2

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-018-1286-2

Keywords

Navigation