Skip to main content
  • Book
  • © 2018

Low-Power Design and Power-Aware Verification

Authors:

  • Complete Low-power design and verification engineering reference book – Required by a wide range of audience – verification engineer, design engineer, engineering policy maker, EDA tool developer, academic researcher and senior students (undergrad/grad) of computer science, electrical engineering, etc.
  • Contents are exhaustive and up to date – one-stop resource for all audience
  • Step-by-step approach with basic to advanced level explanation and example – easily acceptable for beginner to advanced user
  • Includes supplementary material: sn.pub/extras

Buy it now

Buying options

eBook USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book USD 159.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Other ways to access

This is a preview of subscription content, log in via an institution to check for access.

Table of contents (7 chapters)

  1. Front Matter

    Pages i-xv
  2. Introduction

    • Progyna Khondkar
    Pages 1-2
  3. Background

    • Progyna Khondkar
    Pages 3-9
  4. Modeling UPF

    • Progyna Khondkar
    Pages 11-68
  5. Power Aware Standardization of Library

    • Progyna Khondkar
    Pages 69-80
  6. UPF Based Power Aware Dynamic Simulation

    • Progyna Khondkar
    Pages 81-108
  7. Power Aware Dynamic Simulation Coverage

    • Progyna Khondkar
    Pages 109-130
  8. UPF Based Power Aware Static Verification

    • Progyna Khondkar
    Pages 131-153
  9. Back Matter

    Pages 155-155

About this book

Until now, there has been a lack of a complete knowledge base to fully comprehend Low power (LP) design and power aware (PA) verification techniques and methodologies and deploy them all together in a real design verification and implementation project. This book is a first approach to establishing a comprehensive PA knowledge base.

LP design, PA verification, and Unified Power Format (UPF) or IEEE-1801 power format standards are no longer special features. These technologies and methodologies are now part of industry-standard design, verification, and implementation flows (DVIF). Almost every chip design today incorporates some kind of low power technique either through power management on chip, by dividing the design into different voltage areas and controlling the voltages, through PA dynamic and PA static verification, or their combination.

The entire LP design and PA verification process involves thousands of techniques, tools, and methodologies, employed from the r

egister transfer level (RTL) of design abstraction down to the synthesis or place-and-route levels of physical design. These techniques, tools, and methodologies are evolving everyday through the progression of design-verification complexity and more intelligent ways of handling that complexity by engineers, researchers, and corporate engineering policy makers. 


Authors and Affiliations

  • Design Verification Specialist, Mentor Graphics - A Siemens Business, Fremont, USA

    Progyna Khondkar

About the author

Progyna Khondkar is a low power design and verification expert and senior verification engineer at Mentor Graphics in the design verification technology division (DVT). He holds two patents and has numerous publications in power aware verification. He has strong focus on electronics, computer and information science education, research and teaching experiences in top level universities in Asia. He has worked for Hardware-Software design, development, integration, test and verification in the world class ASIC & Electronic Design Automation (EDA) companies for the last 15 years. He holds a PhD in Computer Science and is a senior member of IEEE. He also serves as a member of editorial board and reviewer of Journal of INFORMATION, IEEE Transactions on Computer-Aided Design of Integrated Circuit and Systems, IEEE Transactions on Computers and Journal of VLSI Design and Verification (JVLSIDV).

Bibliographic Information

  • Book Title: Low-Power Design and Power-Aware Verification

  • Authors: Progyna Khondkar

  • DOI: https://doi.org/10.1007/978-3-319-66619-8

  • Publisher: Springer Cham

  • eBook Packages: Engineering, Engineering (R0)

  • Copyright Information: Springer International Publishing AG 2018

  • Hardcover ISBN: 978-3-319-66618-1Published: 17 October 2017

  • Softcover ISBN: 978-3-319-88286-4Published: 22 August 2018

  • eBook ISBN: 978-3-319-66619-8Published: 05 October 2017

  • Edition Number: 1

  • Number of Pages: XV, 155

  • Number of Illustrations: 7 b/w illustrations, 12 illustrations in colour

  • Topics: Circuits and Systems, Software Engineering, Processor Architectures, Performance and Reliability

Buy it now

Buying options

eBook USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book USD 159.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Other ways to access